Library


Complete Pub List

2000's

1990's

1980's

1970's

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Library -- 2000 - 2009

2004-2005
00-01     02-03     04-05     06-07    

  1. Schmid, Gerard M.; Stewart, Michael D.; Burns, Sean D.; Willson, C. Grant. “Mesoscale Monte Carlo Simulation of Photoresist Processing.” J. Electrochemical Soc. 151 (2), (2004).
  2. Yamada, Shintaro; Mrozek, Thomas; Rager, Timo; Owens, Jordan; Rangel, Jose; Willson, C. Grant; Byers, Jeffery. “Toward Environmentally Friendly Photolithographic Materials: A New Class of Water-Soluble Photoresists.” Macromolecules 37(2), 377-384, (2004).
  3. Kim, Eui K.; Stacey, N.A.; Smith, B. J.; Dickey, M.D., Johnson, S.C.; Trinque, B.C.; Willson, C. G. “Vinyl Ethers in Ultraviolet Curable Formulations for Step and Flash Imprint Lithography” Jour. Vac. Sci. & Tech., B: Microelectronics and Nanometer Structures—Processing, Measurement, and Phenomena, 22, 131-135 (2004).
  4. Chambers, C. R.; Kusumoto, S.; Osborn, B. P.; Vasudeve, A.; Ootani, M.; Walthal, L.; McMichael, H.; Zimmerman, P. A.; Conley, W. E.; Willson, C. G. “Design of dissolution inhibitors for chemically amplified photolithographic systems” Proc. SPIE, 5376, 360-368 (2004).
  5. Taylor, J. C.; Chambers, C. R.; Deschner, Ryan; LeSuer, R. J.; Conley, W. E.; Burns, S. D.; Willson, C. G. “Implications of immersion lithography on 193nm photoresists. Proc. SPIE, 5376, 34-43 (2004).
  6. Tatersall, P. I.; Breslin, D.; Grayson, S. M.; Heath, W. H.; Lou, K.; McAdams, C.L.; McKean, D.; Rathsack, B. M.; Willson, C. G.; “Synthesis and Properties of Diazopiperidiones for Use in Nonchemically Amplified Deep UV Photoresists,” Chem. Mater., 16(9), 1770-1774 (2004).
  7. Leeson, M. J.; Yueh, W.; Tattersall, P. I.; Pawloski, A.; Grayson, S. M.; Willson, C. G. “Synthesis and Reactivity of 3-Diazo-4-oxocoumarins for Photolithographic Applications” Chem. Mater., 16(9), 1763-1769 (2004).
  8. LeSuer, R. J.; Fan, F. F.; Bard, A. J.; Taylor, C.; Tsiartas, P.; Willson, C. G.; Conley, W.; Feit, G.; Kunz, R. “Using scanning electrochemical microscopy t probe chemistry at the solid-liquid interface in chemically amplified immersion lithography,” Proc. SPIE, 5376, 115-125 (2004).
  9. Schmid, G.M.; Stewart, M. D.; Wang, C. Y.; Vogt, B. D.; Prabhu, V. M.; Lin, E. K.; Willson, C. G. “Resolution limitations in chemically amplified photoresist systems” Proc SPIE, 5376, 333-341 (2004).
  10. Colburn, Matthew; Choi, Byung Jin; Sreenivasan, S.V.; Bonnecaze, Roger T.; Willson, C. Grant. “Ramifications of Lubrication Theory on Imprint Lithography,” Microelectronic Engineering 75(3), 321-329 (2004).
  11. Burns, R. L.; Johnson, S. C.; Schmid, G. M.; Kim, E. K.; Dickey, M. D.; Meiring, J.; Burns, S. D.; Stacey, N. A.; Willson, C. G. “Mesoscale modeling for SFIL simulating polymerization kinetics and densification,” Proc. SPIE, 5374, 348-360 (2004).
  12. Xu, F.; Stacey, N.; Watts, M.; Truskett, V.; McMackin, I.; Choi, Jin; Schumaker, P.; Thompson, E.; Babs, D.; Sreenivasan, S.V.; Willson, C. G.; Schumaker, N. “Development of Imprint Materials for the Step and Flash Imprint Lithography Process,” Proc. SPIE, 5374, 232-241 (2004).
  13. Michaelson, Timothy, Jamieson, Andrew; Pawloski, Adam R.; Byers, Jeffrey; Acheta, Alden; Willson, C. Grant. “Understanding the Role of Base Quenchers in Photoresists” SPIE 5376, 1282-1293 (2004).
  14. Jones, Ronald L.; Hu, Tengjiao; Lin, Eric K.; Wu, Wen-Li; Goldfarb, Dario L.; Angelopoulos, Marie; Trinque, Brian; Schmid, Gerard M.; Stewart, Michael D.; Willson, C. Grant. “Formation of Deprotected Fuzzy Blobs in Chemically Amplified Resists,” Journal of Polymer Science, Part B: Polymer Physics, 42, 3063-3069 (2004).
  15. Yan, X.; Liu, G.; Dickey, M.; Willson, C. G. “Preparation of Porous Polymer Membranes Using Nano- or Micro-pillar Arrays as Templates” Polymer 45, 8469-8474 (2004).
  16. Meiring, J. E.; Schmid, M. J.; Grayson, S. M.; Rathsack, B. M.; Johnson, D. M.; Kirby, R.; Kannappan, R.; Manthiram, K.; Hsia, B.; Hogan, Z. L.; Ellington, A. D.; Pishko, M. V. and Willson, C. G. “Hydrogel Biosensor Array Platform Indexed by Shape,” Chem. Mater. 16, 5574-5580 (2004).
  17. Tattersall, P. I.; Breslin, D.; Grayson, S.; Heath, W.; Lou, K.; McAdams, C.; McKean, D.; Rathsack, B.; Yueh, Wang; Willson, C. G. “Synthesis and Properties of Diazopiperidiones for use in Non-Chemically Amplified Deep UV Resists,” Chem. Matl. 16(9), 1770-1774 (2004).
  18. Jamieson, A. T.; Willson, C. G.; Brodie, A.; Hsu, Y. “Low voltage Electron Beam Lithography Resist Processes: Top Surface Imaging and Hydrogen Silisequioxane Bilayer” JM3, 3(3), pp. 442-449 (2004).
  19. Dickey, M. D.; Stewart, M. D.; Willson, C. G. “An Automated Statistical Process Control Study of Inline Mixing Using Spectrophotemetric Detection” Jour. of Chem. Ed. (xxxx).
  20. Resnick, Douglas J.; Sreenivasan, S.V.; Willson, C. Grant. “Step and Flash Imprint Lithography” Materials Today, February 2005, p. 34-42.
  21. Yan, Xiaohu Yan; Liu, Guojun; Dickey, Michael; Willson, C. Grant. “Preparation of porous polymer membranes using nano- or micro-pillar arrays as templates” Polymer 45, 8469-8474 (2004).
  22. Schmid, Gerard; Burns, Sean; Stewart, Michael; Stewart, Michael; Tsiartas, Pavlos; Meiring, Jason; and Willson, C. Grant. “Mesoscale Monte Carlo simulation of positive-tone, chemically amplified photoresist processing” Polym. Mater. Sci. Eng., 90, 285-286 (2004).
  23. Dickey, Michael; Willson, C. G. “Effects of oxygen on step and flash imprint lithography photopolymerization kinetics” Polym. Mater. Sci. Eng. 90, 24-25 (2004)
  24. Johnson, Heather; Ozair, Sahban; Winters, Kristina; Willson, C. G. “Designing materials for cationic graft lithography, Polym. Mater. Sci. Eng., 42, 3063-3069 (2004).
  25. Rathsack, Benjamin; Medeiros, David; Willson, C. Grant “Resists for Mask Making” (Chapter 15) Handbook of PHotomask Manufacturing Technology. CRC Press, ISBN 0-8247-5274-7 (2005).
  26. Gates, B. D.; Xu, Q.; Stewart, M.; Deschner, R.; Willson, C. G.; Whitesides, G. M. “New Approaches to Nanofabrication: Molding, Printing, and Other Techniques,” Chem. Rev. 105, 1171-1196 (2005).
  27. Stewart, M D.; Johnson, S. C.; Sreenivasan, S. V.; Resnick, D. J.; Willson, C. G. “Nanofabrication with step and flash imprint lithography” J. Microlith., Microfab., Microsyst. 4(1), 011002 (2005).
  28. Michaelson, Tim; Pawloski, Adam; Acheta, Alden; Nishimura, Yukio; Willson, C. G. “The effects of chemical gradients and photoresist composition on lithographically generated line edge roughness,” SPIE 5753 pp. 368-379 (2005).
  29. Meiring, Jason; Michaelson, Timothy B.; Jamieson, Andrew; Schmid, Gerard M.; Willson, C. Grant “Using Mesoscale Simulation to Explore Photoresist Line Edge Roughness” SPIE 5753 pp.350-360 (2005).
  30. Taylor, J. Christopher; Shayib, Ramzy; Goh, Sumarlin; Chambers, Charles R.; Conley, Will; Lin, Shang-Ho; Willson, C. Grant. “Fluids and resists for hyper NA immersion lithography,” SPIE 5753, pp. 836-846.
  31. Conley, Will; LeSuer, Robert J.; Fan, Frank F.; Bard, Allen J.; Taylor, Chris; Tsiartas, Pavlos; Willson, C. Grant; Romano, Andrew; Dammel, Ralph. “Understanding the Photoresist Surface-Liquid Interface for ArF Immersion Lithography,” SPIE, 5753, pp. 64-77 (2005).
  32. Kim, E. K.; Ekerdt, J. E.; Willson, C. G. “Importance of evaporation in the design of materials for step and flash imprint lithography,” J. Vac Sci. Technol. B 23(4) (2005)
  33. Kim, E. K.; Stewart, M. D.; Wu, K.; Palmieri, F.L.; Dickey, M. D.; Ekerdt, J. G. and Willson, C. G. “Vinyl Ether Formulations for Step and Flash Imprint Lithography,’ J. Vac. Sci. Technol. B 23(6), pp. 2967-2971 (2005)
  34. Wu, K.; Bailey, T. C.; Willson, C. G.; Ekerdt, J. G. “Surface Hydration and Its Effect on Fluorinated SAM Formation on SiO2 Surfaces,” Langmuir, 21, pp. 11795-11801 (2005).
  35. Johnson, S.; Burns, R.; Kim, E. K.; Dickey, M.; Schmid, G.; Meiring, J.; Burns, S.; Willson, C. G. “Effects of etch barrier densification on step and flash imprint lithography,” J. Vac. Sci. Technol. B 23(6), pp. (2005).
  36. Stewart, Michael D.; Willson, C. Grant. “Imprint Materials for Nanoscale Devices,” MRS Bulletin 30, pp. 947-951 (2005).

   

 



© 2005 Willson Research GroupUniversity of Texas at Austin
Last updated Friday, July 08, 2005
 Site design by Arrion Smith
WEL 5.240, 512.471.3975