Library


Complete Pub List

2000-2009

1990-1999

1980-1989

1970-1979

MainPage

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Library -- 2000 - 2009

2002-2003
00-01     02-03    04-05     06-07    

  1. Gerard M. Schmid; Michael D. Stewart; Vivek K. Singh*; C.G.Willson  “Spatial Distribution of Reaction Products in Positive Tone Chemically Amplified Resists,” Jour. of Vac. Sci. & Tech. B 20(1), 185-190 (2002).
  2. Burns, S., Schmid, G., Tsiartas, P., Willson, C. G. “Advancements to the Critical Ionization Dissolution Model,” Jour. of Vac. Sci. & Tech. B 20(2), 537-543 (2002).
  3. Trinque, B., Chiba, T., Hung, R., Chambers, C., Pinnow, M., Tran, H.V., Wunderlich, J., Hsieh, Y., Thomas, B., Shafer, G., Shafer, D., DesMartequ, D., Conley, W. and Willson, C. G., “Recent Advances in Resists for 157nm Microlithography” Jour. of Vac. Sci. & Tech. B 20(2), 531-536 (2002).
  4. D.J. Resnick, D.P. Mancini, S.V. Sreenivasan, C.G. Willson, “Release Layers for Contact and Imprint Lithography,” Semiconductor International, June 2002, 71-80 (2002).
  5. T.C. Bailey, D.J. Resnick, D. Mancini, K.J. Nordquist, W.J. Dauksher, E. Ainley, A. Talin, K. Gehoski, J.H. Baker,  B.J. Choi, S. Johnson, M. Colburn, M. Meissl, S.V. Sreenivasan, J.G. Ekerdt, and C.G. Willson, "Template Fabrication Schemes for Step and Flash Imprint Lithography,"  Microelectronic Engineering, 61-62, 461-467 (2002).
  6. Tran, H. V.; Hung, R. J.; Chiba, T.; Yamada, S.; Mrozek, T.; Hsieh, Y.-T.; Chambers, C. R.; Osborn, B. P.; Trinique, B. C.; Pinnow, M. J.; MacDonald, S. A.; and Willson, C. G. "Metal-Catalyzed Vinyl Addition Polymers for 157 nm Resist Applications: II. Fluorinated Norbornenes - Synthesis, Polymerization, and Initial Imaging Results," Macromolecules 35(17); 6539-6549 (2002).
  7. Bailey, T. C.; Johnson, S. C.; Sreenivasan, S. V.; Ekerdt, J. G.; Willson, C. G. "Step and Flash Imprint Lithography: An Effective Nanoscale Patterning Method," J. Photopolymer Sci. Tech. 15(3); p.481 (2002).
  8. Sreenivasan, S. V.; Willson, C. G.; Schumaker, N. E.; and Resnick, D. J. "Cost of Ownership Analysis for Patterning Using Step and Flash Imprint Lithography" Proc. of SPIE, 4688, p.903-909 (2002).
  9. Willson, C. G., Trinique, B. C., Osborn, B. P., Chambers, C. R., Hsieh, Y., Kusumoto, S., Zimmerman, P., Miller, D. and Conley, W. "Fluorinated Polymers and Dissolution Inhibitors for 157nm Microlithography" ACS Preprint, 224:012-Fluor Part 1, Aug. 18, (2002).
  10. Resnick, D. J.; Dauksher, W. J.; Mancini, D.; Nordquist, K. J.; Ainley, E.; Gehoski, K.; Baker, J. H.; Bailey T. C.; Choi, B. J.; Johnson, S.; Sreenivasan, S. V.; Ekerdt, J. G.; and Willson, C. G. "High Resolution Templates for Step and Flash Imprint Lithography," Proc. SPIE: Emerging Lithographic Technologies VI, 4688: p205 (2002).
  11. Dauksher, W. J., Nordquist, K. J.; Mancini, D. P., Resnick, D. J., Baker, J. H.; Hooper, A. E.; Talin, A. A.; Bailey, T. C.; Lemonds, A. M.; Sreenivasan, S.V.; Ekerdt, J. G.; Willson, C. G.; "Characterization of and Imprint Results using ITO-based Step and Flash Imprint Lithography Templates". J. Vac. Sci. Tech. B, 20(6) (2002).
  12. Mancini, D. P., Gehoski, K. A., Ainley, E., Nordquist, K. J., Resnick, D. J., Bailey, T. C., Sreenivasan S. V., Ekerdt, J. G., Willson, C. G., "Hydrogen Silsesquioxane for Direct E-beam Patterning of Step and Flash Imprint Lithography Templates". J. Val. Sci. Tech. B, 20(6) (2002).
  13. Willson, C. G, Trinque, B. C., Osborn, B. P., Chambers, C. R, Hsieh, Y. T., Chiba, T., Zimmerman, P., Miller, D., Conley, W., "The Design of Resist Materials for 157nm Lithography," J. of Photopolymer Sci. and Tech., 15(4), p. 583-590 (2002).
  14. Bailey, T. C., Johnson, S. C., Dickey, M. D., Smith B.J., Jamieson, A. T., Kim, E. K., Stacey, N. A., Mancini, D., Dauksher, W. J., Nordquist, K., Resnick, D. J., Sreenivasan, S. V., Ekerdt, J. G., Willson; C. G., "Recent Advances in Step and Flash Imprint Lithography;" Proc. Arch Interface (2002).
  15. Lin, Eric K.: Soles, Christopher L.; Goldfarb, Dario L.; Trinque, Brian C.,: Burns, Sean D.; Jones, Ronald L.; Lenhart, Joseph L.; Angelopoulos, Marie; Willson, C. Grant; Satija, Shil K.; Wu, Wen-li. “Direct Measurement of the Reaction Front in Chemically Amplified Photoresists,” Science 297, 372-375 (2002).
  16.  Schmid, Gerard M., Burns, Sean D., Tsiartas, Pavlos C., and Willson, C. Grant, “ Electrostatic Effects During Dissolution Of Positive Tone Photoresists.” Journal of Vacuum Science & Technology, B,20(6), 2913 (2002): Also published in Virtual Journal of Nanoscale Science & Technology, Feb. 3, (2003).
  17. Stewart, Michael D., Tran, Hoang Vi, Schmid, Gerard M., Stachowiak, Timothy B., Becker, Darren J., Willson, C. Grant; “Acid Catalyst Mobility in Resist Resins,” Journal of Vacuum Science & Technology, B20(6), Nov/Dec (2003).
  18. Trinque, Brian C., Chambers, Charles R., Osborn, Brian P., Callahan, Ryan P., Lee, Geun Su, Kusumoto, Shiro, Sanders, Daniel P., Grubbs, Robert H., Conley, Willard E., Willson, C. Grant; “Vacuum-UV Influenced Design of Polymers and Dissolution Inhibitors For Next Generation Photolithography” J. of Florida Chem., 122, p. 17-26 (2003).
  19. Sanders, D. P.; Connor, E. F.; Grubbs, R. H.; Hung, R. J.; Osborn, B. P.; Chiba, T.; Mac Donald, S. A.; Willson, C. G.; Conley, W. “Metal-Catalyzed Addition Polymers for 157nm Resist Applications. Synthesis and Polymerization of Partially Flourinated, Ester-Functionalized Tricyclo[4.2.1.02,5]non-7-enes.” Macromolecules 36 (5),1534-1542 (2003).
  20. Grayson, S. M.; Willson, C. G. “Self-orienting and self-assembling mesoscale amphiphilic hydrogels.” Polym. Mat. Sci. Eng. 89, 219-220 (2003).
  21. Jason E. Meiring; Matthew J. Schmid, Scott Grayson; Benjamen M. Rathsack; David M. Johnson, Romy Kirby, , Ramakrishnan Kannappan, Kalpana Manthiram; Jennifer Stotts; Zachary Hogan; Ryan J. Russell, Michael V. Pishko, Andrew D. Ellington, C. Grant Willson, “Hydrogel biosensors arrays indexed through shape recognition,” Polym. Mat. Sci. Eng. 89, 217-218 (2003).
  22. Willson, C. Grant and Trinque, Brian C. “The evolution of materials for the photolithographic process,” J. Photopoly. Sci. Tech., 16(4), 621-627 (2003).
  23. Sharif, Iqbal; DesMarteau, Darryl; Ford, Larry; Shafer, Gregory J.; Thomas, Brian; Conley, Will; Zimmerman, Paul; Miller, Daniel; Lee, Guen Su; Chambers, Charles R.; Trinque, Brian C.; Chiba, Takashi; Osborn, Brian P.; Willson, C. Grant. “Advances in TFE-based fluoropolymers for 157-nm lithography: a progress report.” Proc. SPIE., 5039, 33-42, (2003).
  24. Stewart, Michael D.; Schmid, Gerard M.; Goldfarb, Dario L.; Angelopoulos, Marie; Willson, C. Grant. “Diffusion-induced line-edge roughness.” Proc. SPIE., 5039, 415-422, (2003).
  25. Conley, Will; Trinque, Brian C.; Miller, Daniel; Caporale, Stefan; Osborn, Brian P.; Kumamoto, Shiro; Pinnow, Matthew J.; Callahan, Ryan; Chambers, Charles R.; Lee, Guen Su; Zimmerman, Paul; Willson, C. Grant. “Negative photoresist for 157-nm microlithography: a progress report.” Proc. SPIE., 5039, 622-626, (2003).
  26. Chambers, Charles R.; Kusumoto, Shiro; Lee, Guen Su; Vasudev, Alok; Walthal, Leonidas; Osborn, Brian P.; Zimmerman, Paul; Conley, Will; Willson, C. Grant. “Dissolution inhibitors for 157-nm photolithography.” Proc. SPIE, 5039, 93-102, (2003).
  27. Burns, Sean D.; Schmid, Gerard M.; Trinque, Brian C.; Willson, James; Wunderlich, Jennifer; Tsiartas, Pavlos C.; Taylor, James C.; Burns, Ryan L.; Willson, C. Grant. “Fundamental study of photoresist dissolution with real time spectroscopic ellipsometry and interferometry.” Proc. SPIE, 5039, 1063-1075, (2003).
  28. Jones, Ronald L.; Hu, Tengjiao; Prabhu, Vivek M.; Soles, Christopher L.; Lin, Eric K.; Wu, Wen-li; Goldfarb, Dario L.; Angelopoulos, Marie; Trinque, Brian; Willson, C. Grant. “Deprotection volume characteristics and line-edge morphology in chemically amplified resists.” Proc. SPIE, 5039, 1031-1040, (2003).
  29. Smith, Britain J.; Stacey, Nicholas A.; Donnelly, J. P.; Onsongo, David M.; Bailey, Todd C.; Mackay, Chris J.; Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Sreenivasan, S. V.; Banerjee, Sanjay K.; Ekerdt, John G.; Willson, C. Grant. “Employing Step-and-Flash imprint lithography for gate-level patterning of a MOSFET device.” Proc. SPIE, 5037, 1029-1034, (2003).
  30. Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E. “Imprint lithography: lab curiosity or the real NGL.” Proc. SPIE, 5037, 12-23, (2003).
  31. Johnson, Stephen C.; Bailey, Todd C.; Dickey, Michael D.; Smith, Britain J.; Kim, Eunha K.; Jamieson, Andrew T.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Mancini, David P.; Dauksher, William J.; Nordquist, Kevin J.; Resnick, Douglas J. “Advances in Step and Flash Imprint Lithography.” Proc. SPIE, 5037, 197-202, (2003).
  32. Johnson, Heather F.; Ozair, Sahban N.; Jamieson, Andrew T.; Trinque, Brian C.; Brodsky, Colin C.; Willson, C. Grant. “Cationic graft polymerization lithography.” Proc. SPIE, 5037, 943-951, (2003).
  33. Trinque, Brian C.; Osborn, Brian P.; Chambers, Charles R.; Hsieh, Yu-Tsai; Corry, Schuyler; Chiba, Takashi; Hung, Ryamond J.; Tran, Hoang Vi; Zimmerman, Paul; Miller, Daniel; Conley, Willard; Willson, C. Grant. “Advances in Resists for 157nm Microlithography” Proc. SPIE 4690, 58-68 (2003).
  34. Conley, Willard; Miller, Daniel; Chambers, Charles; Osborn, Brian; Hung, Raymond J.; Tran, Hoang Vi; Trinque, Brian C.; Pinnow, Matthew; Chiba, Takashi; McDonald, Scott; Zimmerman, Paul; Dammel, Ralph; Rmano, Andrew; Willson, C. Grant. “Dissolution Inhibitors for 157nm Microlithography,” Proc. SPIE 4690, 69-75 (2003).
  35. Conley, Will; Trinque, Brian C.; Miller, Daniel; Zimmerman, Paul; Kudo, Takanori; Dammel, Ralph; Romano, Andrew; and Willson, C. Grant. “Negative Photoresist for 157nm Microlithography: A Progress Report” Proc. SPIE 4690, 94-109 (2003).
  36. Lin, Eric K.; Soles, Christopher L.; Goldfarb, Dario L.; Trinque, Brian C.; Burns, Sean D.; Jones, Ronald L.; Lenhart, Joseph L., Angelopoulos, Marie; Willson, C. Grant; Satija, Sushil K; Wu, Wen-li. “Measurement of the Spatial Evolution of the deprotection Reaction Front with Nanometer Resolution using Neutron Reflectometry,” Proc. SPIE 4690, 313-320 (2003).
  37. Burns, Sean D.; Medeiros, David R.; Johnson, Heather F.; Wallraff, Gregory M.; Hinsberg, William D.; Willson, C. Grant. “The Effect of Humidity on Deprotection Kinetics in Chemically Amplified Resists” Proc. SPIE 4690, 321-331 (2003).
  38. Schmid, Gerard M., Burns, Sean D.; Stewart, Michael D.; Willson, C. Grant. “Mesoscale Simulation of Positive Tone Chemically Amplified Photoresists” Proc. SPIE 4690, 381-390 (2003).
  39. Stewart, Michael D.; Becker, Darren J.; Stachowiak, Timothy B.; Schmid, Gerard M.’ Michaelson, Timothy B.; Tran, Hoang Vi; Willson, C. Grant. “Acid Mobility in Chemically Amplified Photoresists” Proc. SPIE 4690, 943-951 (2003).
  40. Jamieson, Andrew; Willson, C. Grant; Hsu, Yautzong; Brodie, Alan. “A Hydrogen Silsesquioxane Bilayer Resist Process for Low-Voltage Electron Beam Lithography” Proc. SPIE 4690, 1171-1179 (2003).
  41. Johnson, S.; Resnick, D. J.; Mancini, D.; Nordquist, K.; Dauksher, W. J.; Gehoski, K.; Baker, J.H.; Baker, L.; Dues, A.; Hooper, A.; Bailey, T.C.; Sreenivasan, S.V.; Ekerdt, J.G.; Willson, C. G. “Fabrication of Multi-tiered structures on step and flash imprint lithography templates.” Microelectronic Engineering, 67-68, 221-228 (2003).
  42. Resnick, D. J.; Dauksher, W.J.; Mancini, D. P.; Nordquist, K. J.; Bailey, T.C.; Johnson, S. C.; Stacey, N. A.; Ekerdt, J. G.; Wilson, C. G.; Sreenivasan, S.V.; Schumaker, N. E. “Imprint lithography for integrated circuit fabrication.” Jour. of Vac. Sci. & Tech., B: Microelectronics and Nanometer Structures—Processing, Measurement, and Phenomena, 21(6): p. 2624-2631 (2003).
  43. Resnick, D. J.; Dauksher, W.J.; Mancini, D. P.; Nordquist, K. J.; Bailey, T.C.; Johnson, S. C.; Stacey, N. A.; Ekerdt, J. G.; Wilson, C. G.; Sreenivasan, S.V. “Improved step and flash imprint lithography templates for nanofabrication.” Microelectronic Engineering, 69(2-4), 412-419 (2003).
  44. Schmid, Matthew; Meiring, Jason; Kirby, Romy; Manthiram, Kalpana; Grayson, Scott; Ellington, Andrew; Willson, C. Grant. “Functionalizing hydrogel based biosensors with DNA oligomers for single nucleotide polymorphism detection.” Polym. Mater. Sci. Eng., 89, 215-216 (2003).
     



© 2005 Willson Research GroupUniversity of Texas at Austin
Last updated Friday, July 08, 2005
 Site design by Arrion Smith
WEL 5.240, 512.471.3975