Library


Complete Pub List

2000-2009

1990-1999

1980-1989

1970-1979

MainPage

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Library -- 2000 - 2009

2000-2001
00-01     02-03     04-05     06-07    

  1. Yamada, Shintaro; Owens, Jordan; Rager, Timo; Nielsen, Morton; Byers, Jeffery D.; Willson, C. Grant.  “The Design and Study of Aqueous-Processable Positive Tone Photoresists,” Proc. SPIE, 3999, 569-578 (2000).
  2. Rathsack, Benjamen; Tabery, Cyrus; Stachowiak, Timothy; Albelo, Jeff; and Willson, C. Grant.  “Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication” Proc. SPIE, 3999, 598-608 (2000).
  3. Somervell, Mark; Fryer, David; Osborn, Brian; Patterson, Kyle; Cho, Sungseo; Byers, Jeffrey; and Willson, C. Grant.  “Using Alicyclic Polymers in Top Surface Imaging Systems to Reduce Line Edge Roughness” Proc. SPIE, 3999, 270-282 (2000).
  4. Schmid, Gerard; Singh, Vivek; Flanagin, Lewis; Stewart, Michael; Burns, Sean; and Willson, C. Grant.  “Recent Advances in a Molecular Level Lithography Simulation” Proc. SPIE, 3999, 675-685 (2000).
  5. Stewart, Michael; Somervell, Mark; Tran, Hoang Vi; Postnikov, Sergei; and Willson, C. Grant.  “Study of Acid Transport Using IR Spectroscopy and SEM” Proc. SPIE, 3999, 665-674 (2000).
  6. Cho, Sungseo; Vander Heyden, Anthony; Byers, Jeffrey; Willson, C. Grant.  Negative tone 193 nm resists”  Proc. SPIE, 3999, 62-73 (2000).
  7. Hale, Michael; Clausi, Dominic; Willson, C. Grant; Dallas, Tim.  “Ultrahigh pressure cell for materials synthesis,” Review of Scientific Instruments, 71 (7), pp. 2784-2790, (2000.)
  8. Chiba, Takashi; Hung, Raymond; Yamada, Shintaro; Trinque, Brian; Yamachika, Mikio; Brodsky, Colin; Patterson, Kyle; Vander Heyden, Anthony; Jamieson, Andrew, Lin, Shang-Ho, Somervell, Mark; Byers, Jeffrey; Conley, Will; Willson, C. Grant.  “157 nm Resist Materials: A Progress Report” J. Photopolym Sci Technol., 13 (4), 657-664 (2000).
  9. Patterson, Kyle; Somervell, Mark; Willson C. Grant. “Challenges in Materials Design for 157 nm Photoresists,’ Solid State Technology, 43, 41 (2000).
  10. Somervell, Mark; Fryer, David; Osborn, Brian; Patterson, Kyle; Byers, Jeffrey; Willson, C. Grant; “A Study of the Fundamental Contributions to Line Edge Roughness in a 193nm, Top Surface Imaging System” J. Vac. Sci. & Tech. B, 18 (5), 2251-2559 (2000).
  11. Colburn, Matthew; Grot, Annette; Amistoso, Marie; Choi, Byung Jin; Bailey, Todd; Ekerdt, John; Sreenivasan, S.V.; Hollenhorst, James; Willson, C. Grant.  “Step and Flash Imprint Lithography for sub-100nm Patterning” Proc. SPIE, 3999, 453-457 (2000).
  12. Patterson, Kyle; Yamachika, Mikio; Hung, Raymond;  Brodsky, Colin; Yamada, Shintaro; Somervell, Mark; Osborn Brian; Hall, Daniel;  Dukovic, Gordana; Byers, Jeffrey; Conley, Willard; and Willson, C. Grant.  “Polymers for 157nm Photoresist Applications:  A Progress Report”  Proc. SPIE, 3999, 365-374 (2000).
  13. Stewart, Michael D.; Patterson, Kyle; Somervell, Mark H.; Willson, C. Grant  “Organic imaging materials:  A view of the future”  J. of Physical Organic Chemistry, 13(12), 767-774 (2000). 
  14. Rager, Timo; Willson, C. Grant.  “Synthesis and Characterization of Diastereomerically Pure Tetracyclo[6.2.1.13,6.02,7]dodec-9-ene-4-carboxylic acid Derivatives” Helvetica Chemica Acta, 83, 2769-2782 (2000).
  15. Bailey, Todd; Choi, Byung Jin; Colburn, Matthew; Meissl, Mario; Shaya, S.; Ekerdt, John G.; Sreenivasan, S.V.; Willson, C. G.  “Step and flash imprint lithography:  Template suface treatment and defect analysis”  J. Vac. Sci. Tech. B. 18 (6), 3572-3577 (2000).
  16. Brodsky, Colin; Byers, Jeff; Conley, Will; Hung, Raymond; Yamada, Shintaro; Patterson, Kyle; Somervell, Mark; Trinque, Brian; Tran, Hoang Vi; Cho, Sungseo; Chiba, Takashi; Lin, Shang-Ho; Jamieson, Andrew; Johnson, Heather; Vander Heyden, Tony; Willson, C. Grant.  “157nm Resist Materials:  A Progress Report” J. Vac. Sci. Technol. B 18, 3396-3401 (2000).
  17. Colin J. Brodsky;  Heather F. Johnson;  Brian C. Trinque;  C. Grant Willson. “Graft Polymerization Lithography: Extending Top Surface Imaging,”  Forefront of Lithographic Materials Research, Proc. of the 12th International Conference on photopolymers, McAfee, New Jersey, U.S.A, 187-196 (2000).
  18. J. Owens;  S. Yamada;  C. Grant Willson;  “The design and study of aqueous – processable positive – tone photoresists.”  Forefront of Lithographic Materials Research, Proc. of the 12th International Conference on photopolymers, McAfee, New Jersey, U.S.A, 229-238 (2000).
  19. S. D. Burns;  M .D. Stewart;  J. N. Hilfiker;  R. A. Synowicki;  G. M. Schmid;  C. Brodsky;  C. G. Willson;  “Determining Free Volume Changes During the PEB and PAB of a chemically Amplified Resist.”  Forefront of Lithographic Materials Research, Proc. of the 12th International Conference on photopolymers, McAfee, New Jersey, U.S.A, 323-334 (2000).
  20. T. Bailey;  B. J. Choi;  M. Colburn;  A. Grot;  M. Meissl;  M. Stewart;  J. G. Ekerdt;  S. V. Sreenivasan;  C. G. Willson;  “Step and Flash Imprint Lithography: A Technology Review,” Future Electron Devices, Tokyo; 11(4), 54, (2000).
  21. Willson Grant C.; McAdams Christopher L.; Yueh Wang.; Osborn Brian P. “Synthesis of comb poly (4-hydroxystyrene) using conventional and “living” free-radical polymerization,” Polym. Prepr. (ACS, DIV. Polym. Chem.), 41, 946-947 (2000).
  22. Bailey, T.; Smith, B. J.; Colburn, M.; Meissl; M.; Sreenivasan, S. V.; Ekerdt, J. G.; Willson, C. G. "Step and Flash Imprint Lithography: Defect Analysis," J. Vac. Sci. Tech. B19(6): 2806 (2001).
  23. Choi, B. J.; Sreenivasan, S. V.; Johnson,S.; Colburn, M.; Willson, C. G. "Design of Orientation Stages for Step and Flash Imprint Lithography" Amer Soc Precision Eng 25(3) 192-199 (2001).
  24. Choi, B. J., Meissl, M., Colburn, M., Bailey, T., Ruchhoeft, P., Sreenivasan, S.V., Prins, F. Banerjee, S., Ekerdt, J. and Willson, C. G. “Layer-to-Layer Alignment for Step and Flash Imprint Lithography,” Proc. SPIE, Vol. 4343, pp. 436-439 (2001).
  25. Brodsky, C., Trinque, B., Johnson, H. and Willson, C. G. “Advances in Graft Polymerization Lithography,” Proc. SPIE, Vol. 4343, pp. 415-420 (2001).
  26. Rathsack, B., Tabery, C., Albelo, Jeff, Buck, P., and Willson, C. G. “Characterization of an Acetal Based Chemically Amplified Resist for 257 nm Laser Mask Fabrication,” Proc. SPIE, 4186, pp. 8163-8168 (2001).
  27. Erdmann, Andreas; Henderson, Clifford; Willson, C. Grant.  “Impact of exposure induced refractive index changes of photoresists on the photolithographic process” Journal of Applied Physics, 89(12), 8163-8168 (2001).
  28. Gardiner, Allen; Burns, Sean; Qin, Anwei; Willson, C. Grant.  “Determination of Residual Casting Solvent Concentration Gradients in Resist Films by a ‘Halt Development’ Technique”  Jour. of Vac. Scie. & Tech. B 19(1) 136-141 (2001).
  29. M. Colburn, T. Bailey, B.J. Choi, J.G. Ekerdt, S.V. Sreenivasan, C.G. Willson; “Development and Advantages of Step-and-Flash Lithography”; Solid State Technology; 46(7) 67 (2001).
  30. Willson, C. G. and Stewart, M. “Future resists” Microlithography World (Special 10th Anniversary Issue) 10 (3), 14 (2001).
  31. H. V. Tran. et al.  “Fluoropolymer Resist Materials for 157 nm Microlithography,” J. Photopolym. Sci. Technol. 14, 669-674  (2001).
  32. Hung, R., Tran, H.V., Trinque, B., Chiba, T., Yamada, S., Sanders, D., Connor, E., Grubbs, R., Klopp, J., Frechet, M.J., Thomas, B., Shafer, G., DesMarteau, Conley, W., Willson, C. G., “Resist Materials for 157 nm Microlithography:  An Update” Proc. SPIE, 4345, 385-395 (2001).
  33. Schmid, G., Smith, M., Mack, C., Singh, V., Burns, S. and Willson, C. G. “Understanding Molecular Level Effects during Post Exposure Processing” Proc. SPIE, 4345, 1037-1047 (2001).
  34. Jamieson, A., Somervell, M., Tran, H.V., Hung, R., MacDonald, S., Willson, C. G., “Top Surface Imaging at 157 nm” Proc. SPIE, 4345, 406-416 (2001).
  35. Burns, S., Gardiner, A., Krukonis, V., Wetmore, P., Schmid, G., Lutkenhaus, J., Flanagin, L., and Willson, C. G. “Understanding Nonlinear Dissolution Rates in Photoresists” Proc. SPIE, 4345, 37-49 (2001).
  36. Stewart, M., Schmid, G., Postnikov, S., Willson, C. G. “Mechanistic Understanding of Line End Shortening” Proc. SPIE, 4345, 10-18 (2001).
  37. Hall, D., Osborn, B., Patterson, K., Burns, S., and Willson, C. G. “Dissolution Behavior of Fluoroalcohol-Substituted Polystyrenes” Proc. SPIE, 4345, 1066-1072 (2001).
  38. Benjamin M. Rathsack, Peter I. Tattersall, Cyrus E. Tabery, Kathleen Lou, Tim B. Stachowiak, David R. Medeiros, Jeff A. Albelo, Peter Y. Pirogovsky, Dennis R. Mckean and C. Grant Willson  “The Rational Design of Bleachable Non-Chemically Amplified DUV Photoactive Compunds,” Proc. SPIE, 4345, 543- xx (2001).
  39. Octavia P. Lehar, Mark Spak, Stephen Meyer, Ralph R. Dammel, Colin Brodsky and C. Grant Willson  “Resist Re-Hydration During Thick Film Processing,” Proc. SPIE, 4345, 463-474 (2001).
  40. Klopp, John; Pasini, Dario; Byers, Jeffrey; Willson, C. Grant; Frechet, Jean M.J., “Microlithographic Assessment of a Novel Family Transparent and Etch Resistant Chemically Amplified 193nm Resists Based on Cyclopolymers”  Chem Mater.,13, 4147-4153 (2001).
  41. Colburn, M., Grot, A., Choi, B. J., Amistoso, M., Bailey, T., Sreenivasan, S.V., Ekerdt, J., and Willson, C. G. “Patterning non-flat substrates with a low pressure, room temperature imprint lithography process,” Jour. of Vac. Sci. & Tech. B 19(6), 2162-2172 (2001).
  42. Colburn, M., Suez, I., Choi, B. J., Meissl, M., Bailey, T., Sreenivasan, S.V., Ekerdt, J. G., and Willson, C. G. “Characterization and Modeling of Volumetric and Mechanical Properties for Step and Flash Imprint Lithography Photopolymers,” Jour. of Vac. Sci. & Tech. B 19(6), 2685-2689 (2001).
  43. M. D. Stewart and C. G. Willson, "Photoresists," Encyclopedia of Materials: Science and Technology (Book Chapter), 6973-6978 (2001).

 

 



© 2005 Willson Research GroupUniversity of Texas at Austin
Last updated Friday, July 08, 2005
 Site design by Arrion Smith
WEL 5.240, 512.471.3975