Library


2000's

1990's

1980's

1970's

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Library - Complete Publications List

To search this list, use the "Find" feature on your browser.

   Publications Index

  1. Jacobson, S. J.; Willson, C. Grant; Rapoport, H.  "Mechanism of Cystine Racemization in Strong Acid," Journal of Organic Chemistry, 39(8), 1074-1077 (1974).
  2. Slama, J. T.; Smith, H. W.; Willson, C. Grant; Rapoport, H.  "Porphyrin-Protein Bond of Cytochrome c. Structure of Porphyrin c," Journ of the American Chemical Society, 97(22), 6556-6562 (1975).
  3. Willson, C. Grant; Gilon, C.; Donzel, B.; and Goodman, M.  "Synthesis of Pyroglutamyl-histidyl-thiazolidine-4-carboxamide, a Biologically Active Analog of Thyrotrophin Releasing Factor," Biopolymers, 15(11), 2317-2322 (1976).
  4. Slama, J. T.; Willson, C. Grant; Grimshaw, C. E.; Rapoport, H.  "Stereochemistry of the Porphyrin-Protein Bond of Cytochrome c. Stereochemistry Comparison of Rhodospirillum Rubrum, Yeast and Horse Heart Porphyrins c.," Biochemistry, 16(8), 1750-1754 (1977).
  5. Chorev, M.; Willson, C. Grant; Goodman, M.  "A General Approach to Retro-Isometric Linear Peptide Synthesis," Journal of the American Chemical Society, 99(24), 8075-8076 (1977).
  6. Chorev, M.; Willson, C. G.; Goodman, M.  "A Three-Point Model for the Dipeptide Sweetner-Receptor Interaction,"  Peptides - Proceedings of the Fifth American Peptide Symposium,  572-574 (1977).
  7. Willson, G.; Goodman, M.; Rivier, J.; Vale, W.  "Topochemically Related Hormone Structures.  The Synthesis of Retro-Analogs of LRF.,"  Peptides - Proceedings of the Fifth American Peptide Symposium,  579-581 (1977).
  8. MacDonald, S. A.; Willson, C. Grant; Chorev, M.; Vernacchia, F. S.; Goodman, M..  "Peptide Sweetners.  3.  Effect of Modifying the Peptide Bond on the Sweet Taste of L-Aspartyl-L-phenylalanine Methyl Ester and Its Analogues," Journal of Medical Chemistry, 23(4), 413-420 (1980).
  9. Gipstein, E., Willson, C. G., and Sachdev, H. S., "Synthesis and Polymerization of Alkyl a-(Alkylsulfonyl)acrylates," Journal of Organic Chemistry, 45(8), 1486-1489 (1980).
  10. MacDonald, S. A.; Schierling, T. D.; Willson, C. G.  "Poly-(N-alkyl)-o-nitroamides):  A New Class of Photosensitive Polymers," Journal of Organic Coatings and Plastics Chemistry, 43, 264-267 (1980).
  11. Grant, Barbara D.; Clecak, Nicholas J.; Twieg, Robert J.; Willson, C. Grant.  "Deep UV Photoresists I. Meldrum's Diazo Sensitizer," IEEE Transactions on Electron Devices, ED-28(11), 1300-1305 (1981).
  12. O'Sullivan, D.; Price, P. B.; Kinoshita, K.; Willson, C. G.  "Correlative Studies of Track-Etch Behaviour and Chemical Development of Lithographic Polymer Resists," Nucl.Tracks, Meth., Inst.Appl.,  344-345 (1981).
  13. Jain, K.; Willson, C. G.; and Lin, B. J.  "Ultrafast high resolution contact lithography using excimer lasers,"  Proc.SPIE, 334, 259-262 (1982).
  14. Frechet, Jean M. J.; Farrall, Jean M.; Willson, C. Grant.  "Chemical Modification of Poly(Methyl Acrylate) via Metalation and a-substitution," Polym.Bull.Berlin, 7(11-12), 567-573 (1982).
  15. Frechet, J. M. J.; Ito, Hiroshi; Willson, C. Grant.  "Résines pour UV lointain mettant an oeuvre un mécanisme d'amplification chimique," Colloque Internationale sur la Microlithographie: Microcircuit Engineering 82,  260 (1982).
  16. Willson, C. Grant; Ito, Hiroshi; Frechet, J. M. J.  "L'amplification chimique appliquée au développement de polymères utilisables comme résines de lithographie," Colloque Internationale sur la Microlithographie: Microcircuit Engineering 82,  261 (1982).
  17. Frechet, Jean M. J.; Farrall, M. Jean; Willson, C. Grant.  "Preparation of Highly Substituted Polysulfones by Chemical Modification,"  Org.Coat.& Appl.Poly.Sci.Proc., 46, 335-339 (1982).
  18. MacDonald, S. A. and Willson, C. G., "Poly(N-alkyl-o-nitroamides): A New Class of Thermally Stable, Photosensitive Polymers," ACS Sym.Ser., 184(6), 73-81 (1982).
  19. Jain, K.; Willson, C. G.; Lin, B. J.  "Fine-Line High Speed Excimer Laser Lithography,"  Proc.IEEE,  92-93 (1982).
  20. Willson, C. Grant and Frechet, Jean M. J., "New UV Resists with Negative or Positive Tone,"  Proc.IEEE,  92-93 (1982).
  21. Jain, K.; Willson, C. G.; Lin, B. J.  "Ultrafast, High-Resolution Contact Lithography with Excimer Lasers," IBM J.Res.Develop., 26(2), 151-159 (1982).
  22. Ito, Hiroshi; Miller, Dolores C.; Willson, C. Grant.  "Polymerization of Methyl a-(Trifluoromethyl)acrylate and a-(Trifluoromethyl)acrylonitrile and Copolymerization of These Monomers with Methyl Methacrylate," Macromolecules, 1982(15), 915-920 (1982).
  23. Willson, C. Grant; Ito, Hiroshi; Frechet, Jean M. J.; Houlihan, Frank.  "Chemical Amplification in the Design of Polymers for Resist Applications," International Union of Pure and Applied Chemistry, 28, 448 (1982).
  24. Hofer, Donald C.; Willson, C. Grant; Neureuther, Andrew R.; Hakey, Mark.  "Characterization of the induction effect at mid-ultraviolet exposure:  application to AZ2400 at 313 nm," Optical Microlithography--Technology for the Mid-1980s, Proc.SPIE, 334, 196-205 (1982).
  25. O'Sullivan, D.; Price, P. B.; Kinoshita, K.; Willson, C. G.  "Predicting Radiation Sensitivity of Polymers," J.Elecrochem.Soc., 129(4), 811-813 (1982).
  26. MacDonald, Scott A.; Miller, Robert D.; Willson, C. Grant; Feinberg, G. M.; Gleason, R. T.; Halverson, R. M.; MacIntyre, M. W.; Motsiff, W. T.  "Image Reversal: The Production of a Negative Image in a Positive Photoresist," Kodak Microelectronics Sem., 23, 114-117 (1982).
  27. Jain, K.; Willson, C. G.; Lin, B. J.  "Ultrafast Deep UV Lithography with Excimer Lasers," IEEE Electron Device Lett., EDL-3(3), 53-55 (1982).
  28. Willson, C. Grant; Ito, Hiroshi; Miller, Dolores C.; Tessier, T. G.  "Poly(methyl a-trifluoromethylacrylate) as a positive electron beam resist," Technical Papers, Regional Technical Conference, Society of Plastic Engineers,  207-219 (1982).
  29. Ito, Hiroshi and Willson, C. Grant, "Chemical Amplification in the Design of Dry Developing Resist Materials," Technical Papers, Regional Technical Conference, Society of Plastic Engineers,  331-353 (1982).
  30. Willson, C. Grant, "Organic Resist Materials - Theory and Chemistry," ACS Sym.Ser., 219(3), 87-159 (1983).
  31. Ito, Hiroshi; MacDonald, Scott; Willson, C. Grant.  "Organic Resist Materials: Exploring the Limits of Sensitivity and Resolution," RJ 4083 (45481) (1983).
  32. Jain, K.; Rice, S.; Lin, B. J.  "Ultrafast Deep UV Lithography Using Excimer Lasers," Polym.Eng.Sci., 23(18), 1019-1021 (1983).
  33. Willson, C. Grant; Ito, Hiroshi; Miller, Dolores C.; Tessier, T. G.  "Poly(Methyl a-Trifluoromethylacrylate) as a Positive Electron Beam Resist," Polymer Eng.& Sci., 23(18), 1000-1003 (1983).
  34. Ito, Hiroshi and Willson, C. Grant, "Chemical Amplification in the Design of Dry Developing Resist Materials," Polymer Eng.& Sci., 23(18), 1012-1018 (1983).
  35. Willson, C. Grant; Miller, Robert; McKean, Dennis; Clecak, Nicholas; Tompkins, Terry; Hofer, Donald.  "Design of a Positive Resist for Projection Lithography in the Mid-UV," Polymer Eng.& Sci., 23(18), 1004-1011 (1983).
  36. MacDonald, Scott A.; Ito, Hiroshi; Willson, C. Grant, "Advances in the design of organic resist materials," Microelectron.Eng., 1(3), 269-293 (1983).
  37. Miller, R. D.; Willson, C. G.; McKean, D. R.; Tompkins, T.; Clecak, Nicholas; Michl, J.; Downing, J.  "Semiempirical Calculations of Electronic Spectra: Utility in the Design of Mid-UV Sensitizers,"  Org.Coat.& Appl.Poly.Sci.Proc., 48, 54-57 (1983).
  38. Jain, K.; Willson, C. G.; Rice, S.; Pederson, L.; and Lin, B. J.  "Ultrafast Deep UV Lithography with Excimer Lasers," Introduction to Microlithography:  Theory, Materials, and Processing, ACS Sym.Ser., 219 , 363 (1983).
  39. Willson, C. G.; Frechet, J. M. J.; and Farrall, M. J.; "Chemical Modification of Poly(styrenesulfone)," Polym.Sci.& Technol., 21, 25-31 (1983).
  40. Thompson, L. F.; Willson, C. G.; and Bowden, M. J.  (ed.), Introduction to Microlithography:  Theory, Materials, and Processing, ACS Symposium Series, 219,  (1983).
  41. Ito, Hiroshi; Eichler, E.; Willson, C. Grant.  "Poly(p-tert-butoxycarbonyloxystyrene): a convenient precursor to p-hydroxystyrene resins,"  Polymer, 24, 998-1000 (1983).
  42. Ito, Hiroshi; Willson, C. Grant; Fréchet, Jean M.; Farrall, M. J.; and Eichler, Eva.  "Synthesis of Poly(p-hydroxy-a-methylstyrene) by Cationic Polymerization and Chemical Modification," Macromolecules, 16(4), 510-517 (1983).
  43. MacDonald, S. A.; Steinmann, F.; Ito, H.; Lee, W-Y.; and Willson, C. G.  "The Development of Oxygen Reactive Ion Etch Barriers Based on Poly(trimethylstannylstyrene)," Polym.Mat.Sci.& Eng.,  104-106 (1983).
  44. Ito, Hiroshi and Willson, C. Grant, "Applications of Photoinitiators to the Design of Resists for Semiconductor Manufacturing,"  ACS Sym.Ser., 212(2), 11-23 (1984).
  45. Willson, Grant; Ito, Hiroshi; MacDonald, Scott.  "Resist Materials: A View of the Future,"  SEMI,   (1984).
  46. Miller, R. D.; McKean, D. R.; Tompkins, T. L.; Clecak, Nicholas; Willson, C. Grant.  Michl, J., and Downing, J., "Semiempirical Calculations of Electronic Spectra: Use in the Design of Mid-UV Sensitizers," Polymers in Electronics, ACS Sym.Ser., 242(3), 25-40 (1984).
  47. Hofer, Donald C.; Miller, Robert D.; Willson, C. Grant; Neureuther, Andrew R.  "Contrast enhanced UV lithography with polysilanes," Advances in Resist Technology,  Proc.SPIE, 469, 108-116 (1984).
  48. Hofer, Donald C.; Miller, Robert D.; Willson, C. Grant.  "Polysilane bilayer UV lithography," Advances in Resist Technology, Proc.SPIE, 469, 16-23 (1984).
  49. Thompson, L. F.; Willson, C. G.; Fréchet, J. M. J. (ed.) Materials for Microlithography: Radiation-Sensitive Polymers, ACS Symposium Series, 266,  (1984).
  50. Tessier, T. G.; Frechet, J. M. J.; Willson, C. G.; Ito, H.  "Application of the Photo-Fries Rearrangement to Polymeric Imaging Systems," Polym.Prep., 25(1), 313-314 (1984).
  51. MacDonald, S. A.; Ito, H.; Willson, C. G.; Moore, J. W.; Gharapetian, H. M.; Guillet, J. E.  "Radiolysis of Poly(isopropenyl t-butyl ketone)," Materials for Microlithography, ACS Symposium Series, 266(7), 179-186 (1984).
  52. Guillet, J. E.; Li, S. K. L.; MacDonald, S. A.; Willson, C. G.  "Photochemistry of Ketone Polymers in the Solid Phase: Thin Film Studies of Vinyl Ketone Polymers," Materials for Microlithography, ACS Symposium Series, 266(19), 389-398 (1984).
  53. Tessier, T. G.; Frechet, J. M. J.; Willson, C. G.; Ito, H.  "The Photo-Fries Rearrangement and Its Use in Polymeric Imaging Systems," Materials for Microlithography, ACS Symposium Series, 266(13), 269-292 (1984).
  54. Miller, R. D.; Hofer, D.; Willson, C. G.  "Soluble Polysilanes: A New Class of Radiation Sensitive Polymers,"  Polym.Prep., 25(1), 307-308 (1984).
  55. Willson,C.G. (ed.), "Advances in Resist Technology," Proc.SPIE, 469, 195-201 (1984).
  56. Guillet, J. E.; Li, S. K. L.; MacDonald, S. A.; Willson, C. G.  "Photochemistry of Ketone Polymers.  18.  Thin Film Studies of Vinyl Ketone Polymers," Polym.Prep., 25(1), 296-297 (1984).
  57. Ito, H.; Willson, C. G.; Frechet, J. M. J.; Farrall, M. J.; Eichler, E.  "Synthesis of Poly(p-hydroxy-a-methylstyrene)," Polym.Prep., 25(1), 158-159 (1984).
  58. Miller, R. D.; Hofer, D.; McKean, D. R.; Willson, C. G.; West, R.; Trefonas, P. T.  "Soluble Polysilane Derivatives: Interesting New Radiation-Sensitive Polymers," Materials for Microlithography, ACS Symposium Series, (14), 293-310 (1984).
  59. MacDonald, S. A.; Ito, H.; Willson, C. G.; Moore, J. W.; Gharapetian, H. M.; Guillet, J. E.  "Radiolysis of Poly(t-butylisopropenyl ketone)," Polym.Prep., 25(1), 298-299 (1984).
  60. Hinsberg, W. D.; Willson, C. G.; Kanazawa, K. K.  "Use of a Quartz Crystal Microbalance Rate Monitor to Examine Photoproduct Effects of Resist Dissolution," Advances in Resist Technology and Processing II, Proc.SPIE, 539, 6-13 (1985).
  61. Willson, C. Grant; Hult, A.; MacDonald, Scott A.  "Photoinitiated Interfacial Cationic Polymerization," Polym.Mat.Sci.& Eng., 52, 339-344 (1985).
  62. MacDonald, Scott A.; Labadie, Jeff W.; Willson, C. Grant.  "Organotin Polymers: Synthesis and Resist Properties," Polymer Preprints, 26(2), 343-344 (1985).
  63. 63.          Fréchet, J. M. J.; Houlihan, F. M.; Bouchard, F.; Eichler, E.; Hult, A.; Allen, R.; MacDonald, S.; Ito, H.; Willson, C. G.  "Chemical Amplification in the Design of Radiation-Sensitive Polymers," Society of Plastic Engineers, Inc.,   (1985).
  64. MacDonald, Scott A.; Ito, Hiroshi; Hiraoka, Hiroyuki; Willson, C. Grant.  "A New Oxygen Plasma Developable UV Sensitive Resist," Photopolymers Principles--Processes and Materials , Technical Papers, Regional Technical Conference, Society of Plastic Engineers,  177-196 (1985).
  65. Houlihan, F.; Bouchard, F.; Frechet, J. M. J.; Willson, C. G.  "Phase transfer catalysis in the tert-butyloxycarbonylation of alcohols, phenols, enols, and thiols with di-tert-butyl dicarbonate," Canadian J.Chemistry, 63(1), 153-162 (1985).
  66. Hult, Anders; MacDonald, Scott A.; Willson, C. Grant.  "Photoinitiated Interfacial Cationic Polymerization," Macromolecules, 18(10), 1804-1809 (1985).
  67. Fréchet, Jean M. J.; Tessier, T. G.; Willson, C. Grant; Ito, Hiroshi.  "Poly[p-(formyloxy)styrene]: Synthesis and Radiation-Induced Decarbonylation," Macromolecules, 18(3), 317-321 (1985).
  68. MacDonald, Scott A.; Frechet, Jean M. J.; Ito, Hiroshi; Willson, C. Grant.  "Resist Materials," Microelectronic Engineering, 3(104), 277-278 (1985).
  69. Fréchet, J. M. J.; Houlihan, F. M.; Willson, C. G.  "Polycarbonates Derived from o-Nitrobenzyl Glycidyl Ether: Synthesis and Radiation Sensitivity,"  Polym.Mat.Sci.& Eng., 53, 268-272 (1985).
  70. Fréchet, J. M. J.; Bouchard, F.; Houlihan, F.; Kryczka, B.; Willson, C. G.  "Novel Highly Substituted Polycarbonates: Synthesis and Properties of Polymers Derived from 1,4-bis-(2-hydroxy-2-propyl)benzyne and Analogs," Polym.Mat.Sci.& Eng., 53, 263-267 (1985).
  71. Fréchet, Jean M. J.; Houlihan, Francis M.; Bouchard, F.; Kryczka, Boguslaw; Willson, C. Grant.  "Design, Synthesis, and Study of Novel, Thermally Depolymerizable Polycarbonates," J.Chem.Soc., Chem.Commun.,  1514-1516 (1985).
  72. Neureuther, A. R.; Hofer, D.; Willson, C. G.  "Design of Contrast Enhancement Processes for Optical Lithography," Microcircuit Eng.,  53-60 (1985).
  73. Willson, C. Grant; Ito, Hiroshi; Fréchet, Jean M. J.; Tessier, Theodore G.; Houlihan, Francis M.  "Approaches to the Design of Radiation-Sensitive Polymeric Imaging Systems with Improved Sensitivity and Resolution," J.Elecrochem.Soc., 133(1) , 181-187 (1986).
  74. Houlihan, F. M.; Bouchard, F.; Fréchet, J. M. J.; Willson, C. G.  "Thermally Depolymerizable Polycarbonates.  2.  Synthesis of Novel Linear Tertiary Copolycarbonates by Phase-Transfer Catalysis," Macromolecules, 19(1), 13-19 (1986).
  75. Fréchet, Jean M. J.; Bouchard, Francine; Houlihan, Francis M.; Eichler, Eva; Kryczka, Boguslav; Willson, C. Grant.  "Design and synthesis of novel allylic and benzylic copolycarbonates susceptible to acidolytic or thermolytic depolymerization," Makromol.Chem., Rapid Commun., 7(3), 121-126 (1986).
  76. Ito, Hiroshi; MacDonald, Scott A.; Willson, C. Grant; Moore, J. W.; Gharapetian, H. M.; Guillet, James E.  "Reactivity and Polymerization of Isopropenyl tert-Butyl Ketone: A Twisted a,b-Unsaturated Enone," Macromolecules, 19(7), 1839-1844 (1986).
  77. Fréchet, Jean M. J.; Bouchard, Francine; Houlihan, Francis M.; Kryczka, Boguslaw; Eichler, Eva; Clecak, Nicholas; Willson, C. Grant.  "New Approach to Imaging Incorporating Chemical Amplification: Synthesis and Preliminary Evaluation of Novel Resists Based on Tertiary Copolycarbonates," J.Imaging Sci., 30(2), 59-64 (1986).
  78. Hinsberg, W. D.; Willson, C. G.; and Kanazawa, K. K.  "Measurement of Thin-Film Dissolution Kinetics Using a Quartz Crystal Microbalance," J.Elecrochem.Soc., 133(7), 1448-1451 (1986).
  79. Labadie, Jeff W.; MacDonald, Scott A.; Willson, C. Grant.  "Organotin Polymers: Synthesis and Resist Properties," J.Imaging Sci., 30(4), 169-173 (1986).
  80. Fréchet, Jean M. J.; Iizawa, Takashi; Bouchard, Francine; Stanciulescu, Maria; Willson, C. Grant; Clecak, Nicholas.  "New Condensation Polymers as Resist Materials Capable of Chemical Amplification," Polym.Mat.Sci.& Eng., 55, 299-303 (1986).
  81. Miller, R. D.; Hofer, D.; Fickes, G. N.; Willson, C. G.; Marinero, E.; Trefonas, P.; West, R.  "Soluble Polysilanes: An Interesting New Class of Radiation Sensitive Materials," Polym.Eng.Sci., 26(16), 1129-1134 (1986).
  82. Labadie, Jeff W.; MacDonald, Scott A.; Willson, C. Grant.  "Poly(alkylnylstannanes): A new class of main chain organotin polymers," Polymer Bulletin, Berlin, 16(5), 427-431 (1986).
  83. Allen, R. D.; MacDonald, S. A.; and Willson, C. G.; "A One Layer MLR Resist," Polym.Mat.Sci.& Eng.,  55, 290-291 (1986).
  84. Willson, C.G. (ed.), "Advances in Resist Technology and Processing III," Proc.SPIE, 631, 346 (1986).
  85. MacDonald, S. A.; Allen, R. D.; Clecak, Nicholas; Willson, C. G.; Frechet, J. M. J.  "A 2-layer resist system derived from trimethylsilystyrene," Advances in Resist Technology and Processing III, Proc.SPIE, 631, 28-33 (1986).
  86. Miller, R. D.; Fickes, G. N.; Hofer, D.; Sooriyakumaran, R.; Willson, C. G.; Guillet, J. E.; Moore, J.  "Soluble Polysilanes for Lithography," Polym.Mat.Sci.& Eng., 55, 599-603 (1986).
  87. MacDonald, S. A.; Pederson, L. A.; Patlach, A. M.; Willson, C. G.  "A Single Layer, Multilevel Resist:  Limited Penetration E-Beam Lithography," Polym.Mat.Sci.& Eng., (2559), 721-723 (1986).
  88. Willson, C. Grant.  "Polymers in Microlithography," Polym.Mat.Sci.& Eng., 55, 1-2 (1986).
  89. Jiang, Ying; Fréchet, Jean M. J.; Willson, C. Grant.  "Poly(vinyl-t-butyl carbonate)synthesis and thermolysis to poly(vinyl alcohol)," Polym.Bull.Berlin, 17(1), 1-6 (1987).
  90. Labadie, Jeff W.; MacDonald, Scott A.; Willson, C. Grant.  "Polymerization of 1-(Trimethylstannyl)alkyl Methacrylates: A New Class of Organotin Polymers and a Novel Case of Degradative Chain Transfer to Polymer," Macromolecules, 20(1), 10-15 (1987).
  91. Fréchet, Jean M. J.; Bouchard, Francine; Eichler, Eva; Houlihan, Francis M.; Iizawa, Takashi; Kryczka, Boguslaw; Willson, C. Grant.  "Thermally Depolymerizable Polycarbonates  V.  Acid Catalyzed Thermolysis of Allylic and Benzylic Polycarbonates:  A New Route to Resist Imaging," Polymer Journal, 19(1), 31-49 (1987).
  92. Miller, R. D.; Hofer, D.; Rabolt, J.; Sooriyakumaran, R.; Willson, C. G.; Fickes, G. N.; Guillet, J. E.; Moore, J.  "Soluble Polysilanes in Photolithography," Polymers for High Technology--Electronics and Photonics, ACS Sym.Ser., 346(15), 170-187 (1987).
  93. Turner, S. Richard; Ahn, K. D.; Willson, C. G.  "Thermally Stable, Deep-UV Resist Materials," Polymers for High Technology--Electronics and Photonics, ACS Symposium Series, 346(17), 200-210 (1987).
  94. Fréchet, J. M. J.; Eichler, E.; Stanciulescu, M.; Iizawa, T.; Bouchard, F.; Houlihan, F. M.; Willson, C. G.  "Acid-Catalyzed Thermolytic Depolymerization of Polycarbonates: A New Approach to Dry-Developing Resist Materials," Polymers for High Technology--Electronics and Photonics, ACS Symposium Series, 346(12), 138-148 (1987).
  95. Ito, Hiroshi; Willson, C. Grant; Frechet, Jean M. J.  "Positive/negative mid UV resists with high thermal stability," Advances in Resist Technology and Processing IV, Proc.SPIE, 771, 24-31 (1987).
  96. Allen, R. D.; MacDonald, S. A.; Willson, C. G.  "A "One-Layer" Multilayer Resist," Polymers for High Technology--Electronics and Photonics, ACS Symposium Series, 346(9), 101-109 (1987).
  97. MacDonald, S. A.; Pederson, L. A.; Patlach, A. M.; Willson, C. G., "A Single-Layer, Multilevel Resist: Limited-Penetration Elecron-Beam Lithography," Polymers for High Technology--Electronics and Photonics, ACS Symposium Series, 346(29), 350-357 (1987).
  98. Kutal, C. and Willson, C. Grant.  "Photoinitiated Cross-Linking and Image Formation in Thin Polymer Films Containing a Transition Metal Compound," J.Elecrochem.Soc., 134(9), 138-148 (1987).
  99. Kutal, C. and Willson, C. G.  "Crosslinking and Image Formation in Thin Polymer Films Containing a Photosensitive Transition Metal Compound," J.Chem.Soc., Chem.Ed.,  2280-2285 (1987).
  100. Kutal, C. and Willson, C. G.  "Inorganic Photoinitiators for Photolithographic Applications," Photochemistry and Photophysics of Coordination Compounds (Berlin),  307-312 (1987).
  101. Willson, C. G.; Miller, R. D.; McKean, D. R.; Pederson, L. A.  "New Diazoketone Dissolution Inhibitors for Deep UV Photolithography," Advances in Resist Technology and Processing IV, Proc.SPIE, 771, 2-11 (1987).
  102. Labadie, Jeff W.; MacDonald, Scott A.; Willson, C. Grant.  "New Synthetic Approach to Organotin Polymers," Proc.PMSE (Germany), 56, 867 (1987).
  103. Neureuther, Andrew R. and Willson, C. Grant.  "Reduction in x-ray lithography shot noise exposure limit by dissolution phenomena," J.Vac.Sci.Technol.B, 6(1), 167-173 (1988).
  104. Umbach, C. P.; Broers, A. N.; Willson, C. G.; Koch, R.; Laibowitz, R. B.  "Nanolithography with an acid catalyzed resist," J.Vac.Sci.Technol.B, 6(1), 319-322 (1988).
  105. McKean, Dennis R.; MacDonald, Scott A.; Clecak, Nicholas J.; Willson, C. Grant.  "Novolac based deep-UV resists," Advances in Resist Technology and Processing V, Proc.SPIE, 920, 60-66 (1988).
  106. Hinsberg, William D.; MacDonald, Scott A.; Pederson, L.; Willson, C. Grant.  "Zero-Misalignment Lithographic Process Using a Photoresist with Wavelength-Selected Tone," Advances in Resist Technology and Processing V, Proc.SPIE, 920, 2-12 (1988).
  107. Hinsberg, W. D.; MacDonald, S. A.; Pederson, L.; Willson, C. G.  "Self-Aligning Lithography using a Dual-Tone Resist:  A Lithographic Analogue of Color Photography," Photo.Sci.& Eng.,  94-97 (1988).
  108. Willson, C. G.; Schellenberg, F. M.; Sperley, K. M.; Brock, P. J.; Levenson, M. D.  "Photodeformable polymer system for holography," CLEO Conf.Lasers Electro Opt., Tech.Dig.,  7, 198 (1988).
  109. Ito, H.; Pederson, L. A.; MacDonald, S. A.; Cheng, Y. Y.; Lyerla, J. R.; Willson, C. G.  "A Sensitive, Etch Resistant, Positive Tone E-Beam Resist System," J.Elecrochem.Soc.,  135(6), 1504-1508 (1988).
  110. Umbach, C. P.; Broers, A. N.; Koch, R.; Willson, C. G.; Laibowitz, R. B.  "Nanolithography with a high-resolution STEM," IBM J.Res.Develop., 32(4), 454-461 (1988).
  111. Iwayanagi, Takao; Ueno, Takumi; Nonogaki, Saburo; Ito, Hiroshi; Willson, C. Grant.  "Materials and Processes for Deep-UV Lithography," Electronic and Photonic Applications of Polymers, Advances in Chemistry Series, ACS, 218(3), 109-224 (1988).
  112. Willson, C. Grant and Bowden, Murrae.  "Organic Resist Materials," Electronic and Photonic Applications of Polymers, Advances in Chemistry Series, ACS, 218(2), 75-108 (1988).
  113. Fréchet, Jean M. J.; Kallman, Neil; Kryczka, Boguslav; Eichler, Eva; Houlihan, Francis M.; Willson, C. Grant.  "Novel derivatives of poly(4-hydroxystyrene) with easily removable teriary, allylic, or benzylic ethers," Polym.Bull.Berlin, 20(5) , 427-434 (1988).
  114. Seligson, Daniel; Ito, Hiroshi; Willson, C. Grant.  "The impact of high-sensitivity resist materials on x-ray lithography," J.Vac.Sci.Technol.B, 6(6), 2268-2273 (1988).
  115. Fréchet, Jean M. J.; Eichler, Eva; Gauthier, Sylvie; Kryczka, Boguslav; Willson, C. G.  "Imaging Processes Based on Side-Chain Modification of Polymers:  Synthesis and Study of Allylic and Benzylic Ethers Derived from Poly(vinylphenols)," The Effects of Radiation on High-Technology Polymers, ACS Symposium Series, 381(10), 155-171 (1989).
  116. Willson, C. Grant and Bowden, Murrae J.  "Resist materials for microelectronics (Part 1)," Chemtech, 19(2), 102-111 (1989).
  117. Willson, C. Grant and Bowden, Murrae J.  "Recent advances in organic resist materials (Part 2)," Chemtech, 19(3), 182-189 (1989).
  118. Fréchet, Jean M. J.; Willson, C. Grant; Iizawa, T.; Nishikubo, T.; Igarashi, K.; Fahey, J.  "New Design for Self-Developing Imaging Systems Based on Thermally Labile Polyformals," Polymers in Microlithography: Materials and Processes, ACS Symposium Series, 412(7), 100-112 (1989).
  119. Fréchet, Jean M. J.; Matuszczak, Steven; Willson, C. Grant; Reck, B.  "Nonswelling Negative Resists Incorporating Chemical Amplification," Polymers in Microlithography: Materials and Processes, ACS Symposium Series, 412(5), 74-85 (1989).
  120. Fréchet, J. M. J.; Matuszczak, S.; Reck, B.; Willson, C. G.  "Photocrosslinking Based on Electrophilic Aromatic Substitution and its Application to Resists Incorporating Chemical Amplification," Polym.Mat.Sci.& Eng., 60, 147-150 (1989).
  121. Kutal, C. and Willson, C. G.  "Photosensitive materials for imaging applications," J.Inf.Rec.Mater., 17(5/6), 373-378 (1989).
  122. Fréchet, J. M. J.; Stanciulescu, M.; Iizawa, T.; Willson, C. G.  "Self-Developing Imaging Systems Based on Polyesters and Polyethers," Polym.Mat.Sci.& Eng., 60, 170-173 (1989).
  123. Fréchet, J. M. J.; Fahey, J.; Willson, C. G.; Iizawa, T.; Nishikubo, T.  "Synthesis of Polyformals and Their Use as Dry-Developing Imaging Systems," Polym.Mat.Sci.& Eng., 60, 174-178 (1989).
  124. Reck, B.; Allen, R. D.; Twieg, R. J.; Willson, C. G.  Matuszczak, S., Stover, H. D. H., Li, N. H., and Fréchet, J. M. J., "Novel Photoresist Design Based on Eletrophilic Aromatic Substitution," Polym.Eng.Sci., 29(14), 960-964 (1989).
  125. Hinsberg, W. D.; MacDonald, S. A.; Pederson, L. A.; Willson, C. G.  "A Lithographic Analog of Color Photography: Self-Aligning Photolithography Using a Resist with Wavelength-Dependent Tone," J.Imaging Sci., 33(4), 129-135 (1989).
  126. Kutal, Charles; Weit, Scott K.; Willson, C. Grant.  "New inorganic photoinitiators for deep-UV resist materials," Polym.Mat.Sci.& Eng., 61, 195-198 (1989).
  127. Stover, H.; Matuszczak, S.; Chin, R.; Shimizu, K.; Willson, C. G.; Fréchet, J. M. J.  "Acid-Catalyzed Rearrangement of Aromatic Ethers: Model Studies and Application to Imaging," Polym.Mat.Sci.& Eng., 61, 412-416 (1989).
  128. Eich, Manfred; Reck, Berndt; Yoon, Do Y.; Willson, C. Grant; Bjorklund, Gary C.  "Novel second-order nonlinear optical polymers via chemical cross-linking-induced vitrification under electric field," J.Applied Physics, 66(7), 3241-3247 (1989).
  129. Reck, Berndt; Eich, Manfred; Jungbauer, Dietmar; Twieg, Robert J.; Willson, C. Grant; Yoon, Do Y.; Bjorklund, Gary C.  "Crosslinked epoxy polymers with large and stable nonlinear optical susceptibilities," Nonlinear Optical Properties of Organic Materials II, Proc.SPIE, 1147(2), 74-83 (1989).
  130. Willson, C. Grant.  "Proceedings of The Almaden Symposium 4th International Conference on Unconventional Photoactive Solids,"  183, 511 (1989).
  131. Green, M. M.; Reidy, M. P.; Johnson, R. J.; Darling, G.; O'Leary, D. J.; Willson, C. G.  "Macromolecular Stereochemistry: The Out-of-Proportion Influence of Optically Active Comonomers on the Conformational Characteristics of Polyiscyanates," Journal of the American Chemical Society, 111, 3452 (1989).
  132. Fréchet, J. M. J.; Houlihan, F. M.; Bouchard, F.; Eichler, E.; Hult, A.; Allen, R.; MacDonald, S.; Ito, H.; Willson, C. G.  "Chemical Amplification in the Design of Radiation-Sensitive Polymers," Functional Polymers, Proc.IUCCP Sym., 6, 193-200 (1989).
  133. Schellenberg, F. M.; Willson, C. G.; Levenson, M. D.; Sperley, K. M.; Brock P. J.  "Photosensitized Polystyrene as a High Efficiency Relief Hologram Medium," Practical Holography III, Proc.SPIE, 1051, 31-43 (1989).
  134. Willson, C. G.; MacDonald, S. A.; Ito, H.; Frechet, J. M. J.  "Recent Progress in Organic Resist Materials,"  Polym.for Microelec., (1), 3-18 (1990).
  135. Maltabes, John G.; Holmes, Steven J.; Morrow, James R.; Barr, Roger L.; Hakey, Mark; Reynolds, Gregg; Brunsvold, William R.; Willson, C. Grant; Clecak, Nick; MacDonald, Scott; Ito, Hiroshi.  "1X Deep UV Lithography With Chemical Amplification for 1-Micron DRAM Production," Advances in Resist Technology and Processing VII, Proc.SPIE, 1262, 2-7 (1990).
  136. Brock, Phillip J.; Willson, C. Grant; Swalen, Jerome D.; Jurich, Mark C.; Miller, Robert D.; Clecak, Nick J.; Logan, Tony; Frechet, J. M. J.  "Generation of Optical Disk Servo Patterns by Lithographic Imaging of Photodeformable Polymers," Optical Data Storage, Proc.SPIE, 1316, 180-191 (1990).
  137. Fréchet, J. M. J.; Kryczka, B.; Matuszczak, S.; Reck, B.; Stanciulescu, M.; Willson, C. G.  "Chemically Amplified Imaging Materials Based on Acid-Catalyzed Reactions of Polyesters or Electrophilic Crosslinking Processes," J.Photopolym.Sci.& Tech., 3(3), 235-247 (1990).
  138. McKean, Dennis R.; Hinsberg, William D.; Sauer, Thomas P.; Willson, C. Grant; Vicari, Richard; Gordon, Douglas J.  "Methylated poly(4-hydroxystyrene) - A new resin for deep-ultraviolet resist application," J.Vac.Sci.Technol.B,  1466-1469 (1990).
  139. Jungbauer, D.; Reck, B.; Twieg, R.; Yoon, D. Y.; Willson, C. G.; Swalen, J. D.  "Highly efficient and stable nonlinear optical polymers via chemical crosslinking under electric field," Appl.Phys.Lett., 56(26), 2610-2612 (1990).
  140. Kutal, Charles; Weit, Scott K.; MacDonald, Scott A.; Willson, C. Grant.  "New Inorganic Photoinitiators For Deep-UV Resist Materials," J.Coat.Technol., 62(786), 63-67 (1990).
  141. Page, R. H.; Jurich, M. C.; Reck, B.; Sen, A.; Twieg, R. J.; Swalen, J. D.; Willson, C. G.  "Electrochromic and optical waveguide studies of corona-poled electro-optic polymer films," J.Opt.Soc.Am.B, 7(7), 1239-1250 (1990).
  142. Bjorklund, G. C.; Ducharme, S.; Jungbauer, D.; Moerner, J. D.; Swalen, J. D.; Twieg, R.; Willson, C. G.; Yoon, D.  "Organic Nonlinear Optical Materials for Frequency Doubling, Modulation, and Switching,"  Proc.Symp.Optics & Electronics for Organic Materials,  (1990).
  143. Swalen, J. D.; Bjorklund, G. C.; Ducharme, S.; Fleming, W.; Herminghaus, S.; Jungbauer, D.; Moerner, W. E.; Smith, B. A.; Twieg, R.; Yoon, D.; Willson, C. G.  "Organic nonlinear optical materials and their device applications for frequency doubling, modulation, and switching," Nonlinear Optical Properties of Organic Materials III, Proc.SPIE, 1337, 2-11 (1990).
  144. McKean, Dennis R.; MacDonald, Scott A.; Johnson, Robert D.; Clecak, Nicholas J.; Willson, C. Grant.  "Characterization of a Novolac-Based Three-Component Deep-UV Resist," Chem.Mater., 2(5), 619-6624 (1990).
  145. McKean, D. R.; Sauer, T. P.; Hinsberg, W. D.; Willson, C. G.  "Synthesis, Characterization, and Lithographic Behavior of Methylated Poly(4-hydroxystyrene)," Polym.Prep., 31(2), 599-600 (1990).
  146. Pawlowski, G.; Sauer, T.; Dammel, R.; Gordon, D. J.; Hinsberg, W.; McKean, D.; Lindley, C. R.; Merrem, H.-J.; Roschert, H.; Vicari, R.; Willson, C. G.  "Modified Polyhydroxystyrenes as Matrix Resins for Dissolution Inhibition Type Photoresists," Advances in Resist Technology and Processing VII, Proc.SPIE, 1262, 391-400 (1990).
  147. Kapitza, Heinrich; Zentel, Rudolf; Twieg, Robert J.; Nguyen, Cattien; Vallerien, Sven Uwe; Kremer, Friedrich; Willson, C. Grant.  "Ferroelectric Liquid Crystalline Polysiloxanes with High Spontaneous Polarization and Possible Applications in Nonlinear Optics," Advanced Materials, 2(11), 539-543 (1990).
  148. MacDonald, Scott A.; Schlosser, Hubert; Ito, Hiroshi; Clecak, Nicholas J.; Willson, C. Grant.  "Plasma-Developable Photoresist Systems Based on Chemical Amplification," Chem.Mater., 3, 435-442 (1991).
  149. Bjorklund, G. C.; Ducharme, S.; Fleming, W.; Jungbauer, D.; Moerner, W. E.; Swalen, J. D.; Twieg, R.; Willson, C. G.; Yoon, D.  "Applications of Organic Second Order Nonlinear Optical Materials," Materials for nonlinear optics: chemical perspectives, ACS Sym.Ser., (13), 216-225 (1991).
  150. Embs, F. W.; Neher, D.; Wegner, G.; Miller, R. D.; Sooriyakumaran, R.; Willson, C. G.  "Preparation of Oriented Mono and Multilayers of Poly(bis-butoxyphenylsilane) by the Langmuir-Blodgett-Technique," Polym.Prep., 31(2), 298-299 (1991).
  151. Teraoka, I.; Jungbauer, D.; Reck, B.; Yoon, D. Y.; Twieg, R.; Willson, C. G.  "Stability of nonlinear optical characteristics and dielectric relaxations of poled amorphous polymers with main-chain chromophores," J.Applied Physics, 69(4), 2568-2576 (1991).
  152. Kutal, Charles; Weit, Scott K.; Allen, Robert D.; MacDonald, Scott A.; Willson, C. Grant.  "Novel Base-Generating Photoinitiators for Deep-UV Lithography," Advances in Resist Technology and Processing VIII, Proc.SPIE, 1466, 362-367 (1991).
  153. MacDonald, S. A.; Clecak, N. J.; Wendt, H. R.; Willson, C. G.; Snyder, C. D.; Knors, C. J.; Deyoe, N. B.; Maltabes, J. G.; Morrow, J. R.; McGuire, A. E.; Holmes, S. J.  "Airborne Chemical Contamination of a Chemically Amplified Resist," Advances in Resist Technology and Processing VIII, Proc.SPIE, 1466, 2-12 (1991).
  154. Fahey, J. T.; Fréchet, J. M. J.; Clecak, N.; Willson, C. G.  "Resist System Based on the Cationic Photocrosslinking of Poly(4-hydroxystyrene) and Polyfunctional Electrophiles," Polym.Mat.Sci.& Eng., 64, 241-269 (1991).
  155. Zentel, Rudolf and Willson, C. Grant.  "New photoresists based on poly(trans-1,2-cyclohexylene diisocyanate)," Makromol.Chem., Rapid Commun., 12(8), 513-516 (1991).
  156. Willson, C. Grant.  "Advances in Organic Resist Materials," Polym.Mat.Sci.& Eng., 64, 18-20 (1991).
  157. Twieg, R.; Bjorklund, G.; Eich, M.; Herminghaus, S.; Jungbauer, D.; Reck, B.; Smith, B.; Swalen, J.; Teraoka, I.; Willson, C. G.; Yoon, D.; Zentel, R.  "Polymeric Nonlinear Optoelectronic Materials, Challenges and Opportunities," Polym.Mat.Sci.& Eng., 64, 66-67 (1991).
  158. Jungbauer, D.; Teraoka, I.; Yoon, D. Y.; Reck, B.; Swalen, J. D.; Twieg, R.; Willson, C. G.  "Second-order nonlinear optical properties and relaxation characteristics of poled linear epoxy polymers with tolane chromophores," J.Applied Physics, 69(12), 8011-8017 (1991).
  159. Matuszczak, Steven; Cameron, James F.; Fréchet, Jean M. J.; Willson, C. Grant.  "Photogenerated Amines and their Use in the Design of a Positive-tone Resist Material based on Electrophilic Aromatic Substitution," J.Mater.Chem., 1(6), 1045-1050 (1991).
  160. Yoon, D. Y.; Jungbauer; D., Teraoka, I.; Reck, B.; Zentel, R.; Twieg, R.; Swalen, J. D.; and Willson, C. G.  "Second-Order NLO and Relaxation Properties of Poled Polymers," Polym.Prep., 32(3), 102-104 (1991).
  161. Embs, F. W.; Wegner, G.; Neher, D.; Albouy, P.; Miller, R. D.; Willson, C. G.; Schrepp, W.  "Preparation of Oriented Multilayers of Poly(silane)s by the Langmuir-Blodgett Technique," Macromolecules, 24(18), 5068-5075 (1991).
  162. Johannsmann, D.; Embs, F.; Willson, C. G.; Wegner, G.; Knoll, W.  "Visco-elastic properties of thin films probed with a quartz crystal resonator," Makromol.Chem., Makromol.Symp., 46, 247-251 (1991).
  163. Frechet, J. M. J.; Matuszczak, S.; Lee, S. M.; Fahey, J.; and Willson, C. G.  "Chemically Amplified Resists Based on Polymer Side-Chain Rearrangement or Electrophilic Crosslinking," Photopolymers: Principles - Processes and Materials, Society of Plastic Engineers, Inc.,  31-40 (1991).
  164. Fréchet, Jean M. J.; Matuszczak, Steven; Reck, Berndt; Stover, Harald D. H.; Willson, C. Grant.  "Chemically Amplified Imaging Materials Based on Electrophilic Aromatic Substitution: Poly[4-(acetoxymethyl)styrene-co-4-(hydroxy)styrene].," Macromolecules, 24(8), 1746-1754 (1991).
  165. Stöver, Harald D. H.; Matuszczak, Steven; Willson, C. Grant; Fréchet, Jean M. J.  "Design of Polymeric Imaging Materials Based on Electrophilic Aromatic Substitution: Model Studies,"  Macromolecules, 24(8), 1741-1745 (1991).
  166. Twieg, R.; Burland, D.; Lux, M.; Moylan, C.; Nguyen, C.; Walsh, P.; Willson, C. G.; Zentel, R.  "Synthetic Approaches to NLO Polymers," Polym.Prep., 32(3), 80-81 (1991).
  167. Swalen, J. D.; Bjorklund, G. C.; Fleming, W.; Herminghaus, S.; Jungbauer, D.; Jurich, M.; Moerner, W. E.; Reck, B.; Smith, B. A.; Twieg, R.; Willson, C. G.; Zentel, R.  "Poled Epoxy Polymers for Optoelectronics," Organic Molecules for Nonlinear Optics and Photonics, 94, 433-445 (1991).
  168. Neher, D.; Mittler-Neher S.; Cha, M.; Stegeman, G.; Embs, F. W.; Wegner, G.; Miller, R. D.; Willson, C. G., "Determination of the Orientational Order Parameters <P*2>, <P*4> in a Polysilane LB Film via Polarization Dependent THG," Nonlinear Optical Properties of Organic Materials IV, Proc.SPIE, 1560, 335-343 (1991).
  169. Ebert, M.; Lux, M.; Smith, B. A.; Twieg, R.; Willson, C. G.; Yoon, D. Y.  "Nonlinear Optical Properties of Linear Epoxy Polymers with Pendant Sulfonyl Tolan Groups," Polym.Prep., 32(3), 130-131 (1991).
  170. Zentel, R.; Poths, H.; Kremer, F.; Jungbauer, D.; Twieg, R.; Willson, C. G.; Yoon, D.  "Polymeric Liquid Crystals: Structural Basis for Ferroelectric and Nonlinear Optical Properties," Polymers for Advanced Technologies, 3, 211-217 (1992).
  171. Fréchet, J. M. J.; Lee, S. M.; Matuszczak, S.; Shacham-Diamand, Y.; MacDonald, S. A.; Willson, C. G.  "Novel Chemically Amplified Dry-Developing Imaging Materials for High Resolution Microlithography," Journal of Photopolymer Science and Technology, 5(1), 17-30 (1992).
  172. Betterton, K.; Ebert, M.; Haeussling, L.; Lux, M. G.; Twieg, R. J.; Willson, C. G.; Yoon, D.; "Strategies for Crosslinking NLO-Polymers Across the Chromophore,"  Proc.Am.Chem.Soc., 66, 312-313 (1992).
  173. MacDonald, Scott A.; Schlosser, Hubert; Clecak, Nicholas J.; Willson, C. Grant.  "A Positive Tone Plasma-Developable Resist Obtained by Gas-Phase Image Reversal," Chem.Mater.,  4, 1364-1368 (1992).
  174. Wallraff, G. M.; Allen, R. D.; Hinsberg, W. D.; Willson, C. G.; Simpson, L. L.; Webber, S. E.; Sturtevant, J. L.  "A Chemically Amplified Photoresist for Visible Laser Direct Imaging," J.Imaging Sci., 36(5), 468-476 (1992).
  175. Wallraff, G. M.; Allen, R. D.; Hinsberg, W. D.; Willson, C. G.; Simpson, L. L.; Webber, S. E.; Sturtevant, J. L.  "A Chemically Amplified Photoresist for Visible Laser Direct Imaging," Polym.Mat.Sci.& Eng., 66, 49-51 (1992).
  176. Twieg, R.; Ebert, M.; Jungbauer, D.; Lux, M.; Reck, B.; Swalen, J.; Teraoka, I.; Willson, C. G.; Yoon, D. Y.; Zentel, R.  "Nonlinear Optical Epoxy Polymers with Polar Tolan Chromophores," Mol.Cryst.Liq.Cryst., 217, 19-24 (1992).
  177. Fahey, J. T.; Shimizu, K.; Fréchet, J. M. J.; Clecak, N.; Willson, C. G.  "Resist System Based on the Cationic Photocrosslinking of Poly(4-hydroxystyrene) and Polyfunctional Electrophiles," Journal of Polymer Science, Part A: Polymer Chemistry, 31(1), 1-11 (1993).
  178. MacDonald, Scott A.; Hinsberg, William D.; Wendt, H. Russell; Clecak, Nicholas J.; Willson, C. Grant; Snyder, Clinton D.  "Airborne Contamination of a Chemically Amplified Resist.  1.  Identification of Problem," Chem.Mater., 5, 348-356 (1993).
  179. Fréchet, J. M. J.; Cameron, J. F.; Chung, C. M.; Haque, S. A.; Willson, C. G.  "Photogenerated Base as Catalyst for Imidization Reactions: A new design of photosensitive polymers," Polym.Bull.Berlin, 30, 369-375 (1993).
  180. Leung, Man-kit; Fréchet, Jean M. J.; Cameron, James F.; Willson, C. Grant.  "Photogenerated base and chemical amplification:  a new resist based on catalyzed decarboxylation," Polym.Mat.Sci.& Eng., 68, 30-31 (1993).
  181. Zentel, Rudolf; Jungbauer, Dietmar; Twieg, Robert J.; Yoon, Do Y.; Willson, C. Grant.  "Synthesis and non-linear optical characteristics of crosslinked and linear epoxy polymers with pendant tolane chromophores," Makromol.Chem., 194, 859-868 (1993).
  182. Willson, C. G., Cameron, J. F., MacDonald, S. A., Niesert, C. P., Frechet, J. M. J., Leung, M. K., and Ackmann, A., "Recent Advances in Chemically Amplified Resist Materials," Polym.Mat.Sci.& Eng., Proc.ACS, 68, 60 (1993).
  183. Willson, C. G.; Cameron, J. F.; MacDonald, S. A.; Niesert C.-P.; Fréchet, J. M. J.; Leung, M. K., Ackmann, A.  "Resist Materials Design: Base-catalyzed Chemical Amplification," Advances in Resist Technology and Processing X, Proc.SPIE, 1925, 354-365 (1993).
  184. MacDonald, Scott A.; Willson, C. Grant; Fréchet, Jean M. J., "Chemical Amplification in High-Resolution Imaging Systems," Accounts of Chemical Research, 27(6), 151-158 (1994).
  185. Lee, S. Ming; Fréchet, Jean M. J.; Willson, C. Grant.  "Photocrosslinking of Poly(4-hydroxystyrene) via Electrophilic Aromatic Substitution:  Use of Polyfunctional Benzylic Alcohols in the Design of Chemically Amplified Resist Materials with Tunable Sensitivities," Macromolecules, 27, 5154 (1994).
  186. Renaldo, Alfred F., Lauchlan, Laurie J., Hofer, Donald C., Hinsberg, William D., McKean, Dennis R., Santini, Hugo A., and Willson, C. Grant, "Lithographic performance of a positive photoresist for thick-film applications," Advances in Resist Technology and Processing XII, Proc.SPIE, 2438, 853-866 (1995).
  187. Tsiartas, Pavlos C.; Simpson, Logan L.; Qin, Anwei; Willson, C. Grant; Krukonis, Val J.; Gallagher-Wetmore, P. M.  "Effect of Molecular Weight Distribution on the Dissolution Properties of Novolac Blends," Advances in Resist Technology and Processing XII, Proc.SPIE, 2438, 261-271 (1995).
  188. Tsiartas, P. C.; Simpson, L. L.; Willson, C. G.; Allen, R. D.; Krukonis, V. J. Gallagher-Wetmore, P. M.  "Molecular weight, ionic strength and temperature effects on the dissolution rate of low molecular weight Novolac fractions,"  Proc.PMSE, 72, 209 (1995).
  189. Ahn, Kwang-Duk and Willson, C. Grant, "Synthesis of Polymers Having N-Hydroxymaleimide Units by Thermolysis of N-(Isopropyloxycarbonyloxy)maleimide Polymers," Bull.Korean Chem.Soc., 16(5), 443-449 (1995).
  190. Leung, Man-kit; Fréchet, Jean M. J.; Cameron, James F.; Willson, C. Grant.  "Design and Synthesis of Photoactive Polymer Systems Base on Amine-Catalyzed Intramolecular Imidization of Polymer Side Chains," Macromolecules, 28(13), 4693-4700 (1995).
  191. Ahn, Kwang-Duk; Koo, Deok-II; Willson, C. Grant.  "Synthesis and polymerization of t-BOC protected maleimide monomers: N-(t-butyloxycarbonyloxy)maleimide and N-[p-(t-butyloxycarbonyloxy)phenyl]-maleimide," Polymer, 36(13), 2621-2628 (1995).
  192. Cameron, James F.; Willson, C. Grant; Fréchet, Jean M. J.  "New Photolabile Amino Protecting Groups: Photogeneration of Amines from [(3',5'-Dimethoxybenzoinyl)oxy]carbonyl Carbamates," J.Chem.Soc., Chem.Commun.,  923-924 (1995).
  193. Willson, C. Grant and Herr, Daniel, "Advances in photoresists will require coordinated university research effort,"  Solid State Technology, 200-199 (June 1995).
  194. Willson, C. G.; "Polymeric photoimaging materials,"  Proc.PMSE, 73, 455 (1995).
  195. Brown, Smart; Ackmann, Paul; Wenner, Val; Lowell, John; Ostrout, Wayne; Willson, C. Grant.  "Passive evaluation of surface and bulk ionic deposition from resist removal using surface photovoltage," Proc.SEMI/IEEE Advanced Semiconductor Manufacturing Conference and Workshop (ASMC), 316-321 (1995).
  196. McKean, Dennis R.; Russell, Thomas P.; Hinsberg, William D.; Hofer, Don; Renaldo, Alfred E.; Willson, C. Grant.  "Thick film positive photoresist.  Development and resolution enhancement technique," J.Vac.Sci.Technol.B, 13(6), 3000-3006 (1995).
  197. Henderson, Clifford L.; Tsiartas, Pavlos C.; Simpson, Logan L.; Clayton, Kelly D.; Pancholi, Sanju; Pawloski, Adam R.; Willson, C. Grant.  "Factors Affecting the Dissolution Rate of Novolac Resins II: Developer Composition Effects," Advances in Resist Technology and Processing XIII, Proc.SPIE, 2724, 481-490 (1996).
  198. Lin, Quinhuang; Simpson, Logan; Steinhäusler, Thomas; Wilder, Michelle; Willson, C. Grant; Havard, Jennifer; Fréchet, Jean M. J.  "Water-Soluble Resist for "Environmentally Friendly" Lithography," Metrology, Inspection, and Process Control for Microlithography X, Proc.SPIE, 2725, 308-318 (1996).
  199. Willson, C. G.; Cameron, J. F.; Frechet, J. M. J.  "Photogeneration of amines and application to chemically amplified resist design," Polym.Mat.Sci.& Eng., Proc.ACS, 74, 437 (1996).
  200. Cameron, J. F.; Willson, C. G.; and Frechet, J. M. J.  "Design and development of new photobase generators derived from a-keto carbamates," Polym.Mat.Sci.& Eng., Proc.ACS, 74, 284-285 (1996).
  201. Cameron, J. F.; Willson, C. G.; and Frechet, J. M. J.  "Photogeneration of amines from a-keto carbamates:  New photocatalysis for polymer modification," Polym.Mat.Sci.& Eng., Proc.ACS, 74, 323-324 (1996).
  202. Cameron, James F.; Willson, C. Grant; Fréchet, Jean M. J.  "Photogeneration of Amines from a-Keto Carbamates:  Photochemical Studies," Journal of the American Chemical Society, 118(51), 12925-12937 (1996).
  203. Willson, C. Grant "Comments on "Photoinitiated Cationic Polymerization with Triarylsulfonium Salts," by J.V. Crivello and J.H.W. Lam, J. Polym. Sci.: Polym. Chem. Ed., 17, 977 (1979)," Journal of Polymer Science, Part A: Polymer Chemistry, 34, 3229-3230 (1996).
  204. Allen, Robert D.; Sooriyakumaran, Ratnam; Opitz, Juliann; Wallraff, Gregory M.; DiPietro, Richard A.; Breyta, Gregory; Hofer, Donald C.; Kunz, Roderick R.; Jayaraman, Saikumar; Shick, Robert; Goodall, Brian; Okoroanyanwu, Uzodinma; and Willson, C. Grant.  "Protecting Groups for 193-nm Photoresists," Advances in Resist Technology and Processing XIII, Proc.SPIE, 2724, 334-343 (1996).
  205. Allen, R. D.; Sooriyakumaran, R.; Opitz J.; Wallraff G. M.; Breyta G.; DiPietro R. A.; Hofer D. C.; Okoroanyanwu U. and Willson C. G.  “Progress in 193 nm Positive Resists,” Journal of Photopolymer Science and Technology, 9(3), 465-474 (1996). 
  206. Erdmann, Andreas; Henderson, Clifford L.; Willson, C. Grant; and Henke, Wolfgang.  "Influence of optical nonlinearities of photoresists on the photolithographic process:  Basics," Optical Microlithography X, Proc.SPIE, 3051, 529-540 (1997).
  207. Willson, C. Grant; Dammel, Ralph A.; and Reiser, Arnost; "Photoresist Materials:  A Historical Perspective,"  Proc.SPIE, 3049, 28-41 (1997).
  208. McAdams, Christopher L., Tsiartas, Pavlos C., and Willson, C. Grant, "Structure-Function Correlation Studies of Dissolution Inhibitors for Novolac-based Photoresists," Polym.Mat.Sci.& Eng., 77, 437-438 (1997).
  209. Medeiros, David R.; Hale, Michael A.; Leitko, Jeffrey K.; Willson, C. Grant; Schröeder, U. Paul  "Synthesis, Polymorphism and Electro-optic Properties of a New Class of Ligated Twin Sc* Liquid Crystals," Polym.Prep., 38(1), 412-413 (1997).
  210. Tsiartas, Pavlos C.; Flanagin, Lewis W.; Henderson, Clifford L.; Hinsberg, William D.; Sanchez, Isaac C.; Bonnecaze, Roger T.; Willson, C. Grant  "The Mechanism of Phenolic Polymer Dissolution: A New Perspective," Macromolecules, 30(16), 4656-4664 (1997).
  211. Fréchet, Jean M. J.; Leung, Man-kit; Urankar, Edward J.; Willson, C. Grant; Cameron, James F.; MacDonald, Scott A.; Niesert, Claus P.  "Photogenerated Base in Resist and Imaging Materials:  Design of Functional Polymers Susceptible to Base Catalyzed Decarboxylation," Chem.Mater., 9(12), 2887-2893 (1997).
  212. Mack, C. A.; Mueller, K. E.; Gardiner, A. B.; Qiu, A.; Dammel, R. R.; Koros, W. G.; Willson, C. G.  "Diffusivity Measurements in Polymers, Part 1:  Lithographic Modeling Results,"  Proc.SPIE, 3049, 355-362 (1997).
  213. Gardiner, Allen B.; Qin, Anwei; Henderson, Clifford L.; Pancholi, Sanju; Koros, William J.; Willson, C. Grant; Dammel, Ralph R.; Mack, Chris; Hinsberg, William D.  "Diffusivity Measurements in Polymers II: Residual Casting Solvent Measurement by Liquid Scintillation Counting,"  Proc.SPIE, 3049, 850-860 (1997).
  214. Mueller, Katherine E.; Koros, William J.; Wang, Yvonne Y.; and Willson, C. Grant.  "Diffusivity Measurements in Polymers, Part III: Quartz Crystal Microbalance Techniques,"  Proc.SPIE, 3049, 871-878 (1997).
  215. Mueller, Katherine E.; Koros, William J.; Mack, Chris A.; and Willson, C. Grant.  "Diffusivity Measurements in Polymers, Part IV:  Acid Diffusion in Chemically Amplified Resists,"  Proc.SPIE, 3049, 706-711 (1997).
  216. Henderson, Clifford L.; Willson, C. Grant; Dammel, Ralph R.; Synowicki, Ron A.  "Bleaching-Induced Changes in the Dispersion Curves of DNQ Photoresists,"  Proc.SPIE, 3049, 585-595 (1997).
  217. Henderson, Clifford L.; Pancholi, Sanju; Chowdhury, Sajed A.; Willson, C. Grant; Dammel, Ralph R.  "Photoresist Characterization for Lithography Simulation Part 2:  Exposure Parameter Measurements,"  Proc.SPIE, 3049, 816-828 (1997).
  218. Henderson, Clifford L.; Tsiartas, Pavlos C.; Pancholi, Sanju N.; Chowdhury, Sajed A.; Dombrowski, Katherine D.; Willson, C. Grant; Dammel, Ralph R.  "Photoresist Characterization for Lithography Simulation Part 3:  Development Parameter Measurements,"  Proc.SPIE, 3049, 805-815 (1997).
  219. Henderson, Clifford L.; Tsiartas, Pavlos C.; Flanagin, Lewis W.; Pancholi, Sanju N.; Chowdhury, Sajed A.; Dombrowski, Katherine D.; Chinwalla, Ammar N.; and Willson, C. Grant.  "Photoresist Characterization for Lithography Simulation Part 4:  Processing Effects on Resist Parameters,"  Proc.SPIE, 3049, 212-223 (1997).
  220. Erdmann, Andreas; Henderson, Clifford L.; Willson, C. Grant; Henke, Wolfgang, "Influence of optical nonlinearities of photoresists on the photolithographic process: applications," Emerging Lithographic Technologies, Proc.SPIE, 3048, (1997).
  221. Lin, Quinhuang; Steinhäusler, Thomas; Simpson, Logan; Wilder, Michelle; Medeiros, David R.; Willson, C. Grant; Havard, Jennifer; and Fréchet, Jean M. J.  "A Water-Castable, Water-Developable Chemically Amplified Negative-Tone Resist,"  Chem.Mater., 9(8), 1725-1730 (1997).
  222. Okoroanyanwu, Uzodinma; Shimokawa, Tsutomu; Byers, Jeffrey; Medeiros, David; Willson, C. Grant; Niu, Quingshang J.; Frechet, Jean M. J.; Allen, Robert.  "New Single Layer Positive Photoresists for 193 nm Photolithography,"  Proc.SPIE, 3049, 92-103 (1997).
  223. Havard, Jennifer M.; Fréchet, Jean M. J.; Pasini, Dario; Mar, Brenda; Yamada, Shintaro; Medeiros, David; Willson, C. Grant.  "Design of a positive tone water-soluble resist,"  Proc.SPIE, 3049, 437-447 (1997).
  224. Ficner, Stanley; Dammel, Ralph R.; Perez, Yvette; Gardiner, Allen; Willson, C. Grant.  "Refractive Indices In Thick Photoresist Films As A Function Of Bake Conditions And Film Exposures,"  Proc.SPIE, 3049, 838-849 (1997).
  225. Willson, C. G.; Yueh, W.; Leeson, M. J.; Steinhäusler, T.; McAdams, C. L.; Dammel, R. R.; Sounik, J. R.; Aslam, M.; Vicari, R.; and Sheehan, M. T.  "Non-chemically amplified 248 nm resist materials,"  Proc.SPIE, 3049, 226-237 (1997).
  226. Leeson, Michael J.; Pawloski, Adam; Levering, Vrad; Yueh, Wang; and Willson, C. Grant.  "Tailoring of a Photoactive Compound For Non-Chemically Amplified 248nm Resist Formulations,"  Proc.SPIE, 3049, 861-870 (1997).
  227. Zhang, P. Linda; Eckert, Andrew R.; Willson, C. Grant; Webber, Stephen E.; Byers, Jeffrey.  "Acid Diffusion through Polymer Films,"  Proc.SPIE, 3049, 898-909 (1997).
  228. Lin, Quinhuang; Katnani, Ahmad; Willson, C. Grant.  "Effects of Crosslinking Agent on Lithographic Performance of Negative-Tone Resists Based on Poly(p-hydroxystyrene),"  Proc.SPIE, 3049, 974-987 (1997).
  229. Niu, Q. Jason; Fréchet, Jean M. J.; Okoroanyanwu, U.; Byers, J. D.; Willson, C. Grant.  "Novel functional nortricyclene polymers and copolymers for 248 and 193 nm chemically amplified resists,"  Proc.SPIE, 3049, 113-123 (1997).
  230. Okoroanyanwu, U.; Byers, J.; Shimokawa, T.; Patterson, K.; Willson, C.G.  “Alicyclic Polymers for 193 nm Lithography”  Proc. 11th International Conference on Photopolymers, SPE, Mid-Hudson Section of SPE, McAfee, New Jersey, USA, 1 (1997).
  231. Okoroanyanwu,  U.;  Byers,  J.;  Shimokawa,  T.;  Webber,  S.;  Willson,  C.G.  “Deprotection Kinetics of New 193 nm Resists Derived from Alicyclic Polymers  Proc. Am. Chem. Soc. Div. Polym. Mater.: Sci. and Eng., Las Vegas, 77, 469-470 (1997).
  232. Erdmann, Andreas; Henderson, Clifford L.; Willson, C. Grant; and Henke, Wolfgang.  “Influence of optical nonlinearities of photoresists on the photolithographic process: Basics,” Optical Microlithography X, Proc. SPIE, 3051, 529-540 (1997).
  233. Okoroanyanwu, Uzodinma; Byers, Jeffrey D.; Cao, Ti; Webber, Stephen E.; Willson, C. Grant.  "Deprotection Kinetics of Alicylic Polymer Resist Systems Designed for 193 nm Lithography," ACS Symp Ser., 706, 174-190 (1998).
  234. Hashemi, Javad; Wilson, James; James, Darryl; Kamala, Girish, Holtz, Mark; Khurts, Kurtis; Combs, Bret; Hale, Michael; Willson, C. Grant, “Design and Testing of a Three Dimensional Shock—Recovery System,” Proc. of the 3rd World Conference on Integrated Design and Proc. Tech., Berlin, Germany, 5, 29-43 (1998).
  235. Okoroanyanwu, Uzodinma; Byers, Jeffrey D.; Cao, Ti; Webber, Stephen E.; Willson, C. Grant.  "Monitoring Photoacid Generation in Chemically Amplified Resist Systems," Proc. SPIE, 3333, 747-757 (1998).
  236. Rau, Nicholas; Neureuther, Andrew; Ogawa, Taro; Kubena, Randy; Stratton, Fred; Fields, Charles; Willson, C. Grant.  "Sensitivity and image quality of resists with electron-beam, ion-beam, and optical exposure," Proc. SPIE, 3333, 1413-1419 (1998).
  237. Havard, Jennifer M.; Pasini, Dario; Fréchet, Jean M. J.; Medeiros, David; Yamada, Shintaro; Willson, C. Grant.  "Design and Preliminary Studies of Environmentally Enhanced Water-castable, Water-developable Positive Tone Resists:  Model and Feasibility Studies," Polymers for Micro- and Nano- Patterning Science Technology, ACS Symp. Series, 706, 262-275 (1998).
  238. Flanagin, Lewis W.; McAdams, Christopher L.; Tsiartas, Pavlos C.; Henderson, Clifford L.; Hinsberg, William D.; and Willson, C. Grant.  "Probabilistic model for the mechanism of phenolic polymer dissolution," Proc. SPIE, 3333, 268-277 (1998).
  239. Patterson, Kyle; Okoroanyanwu, Uzodinma; Shimokawa, Tsutomu; Cho, Sungseo; Byers, Jeffrey; Willson, C. Grant.  "Improving the Performance of 193nm Photoresists Based on Alicyclic Polymers,"  Proc. SPIE, 3333, 425-437 (1998).
  240. Medeiros, David R.; Hale, Michael A.; Leitko, Jeffrey K.; and Willson, C. Grant.  "Laterally Linked Liquid Crystal Dimers with Electro-optic Properties," Chem.Mater., 10(7), 1805-1813 (1998).
  241. McAdams, Christopher L.; Tsiartas, Pavlos; and Willson, C. Grant.  "The Influence of Structure on Dissolution Inhibition for Novolac-Based Photoresists: An Adaptation of the Probabilistic Approach," Polymeric Materials for Micro- and Nano- Patterning, ACS Symp Series, 706, 292-305 (1998).
  242. Byers, Jeffrey; Patterson, Kyle; Cho, Sungseo, McCallum; Martin, and Willson, C. Grant.  "Recent Advancements In Cycloolefin Based Resists For ArF Lithography," J.Photopolym.Sci.& Tech., 11(3), 465-474 (1998).
  243. Okoroanyanwu, Uzodinma; Shimokawa, Tsutomu; Byers, Jeffrey; and Willson, C. Grant.  "Alicylic Polymers for 193 nm Resist Applications:  Synthesis and Characterization," Chemistry of Materials, 10(11), 3319-3327 (1998).
  244. Okoroanyanwu, Uzodinma; Byers, Jeffrey; Shimokawa, Tsutomu; and Willson, C. Grant.  "Alicylic Polymers for 193 nm Resist Applications:  Lithographic Evaluation," Chemistry of Materials, 10(11), 3328-3333 (1998).
  245. Havard, Jennifer; Pasini, Dario; Frechet, Jean M. J.; Medeiros, David; Patterson, Kyle; Yamada, Shintaro.  “The design and study of water-soluble positive- and negative-tone imaging materials,” Proc. SPIE, 3333, 111-121 (1998).
  246. Mack, Chris A.; Mueller, Katherine E.; Gardiner, Allen; Sagan, J. P.; Dammel, Ralph R.; Willson, C. Grant.  “Modeling of solvent diffusion in photoresist,” J. Vac. Sci. Technol., B, 16(6) 3779-3783 (1998).
  247. Erdmann, Andreas; Henderson, Clifford; Willson, C. Grant; Dammel, Ralph R..  “Some aspects of thick film resist performance and modeling,” Proc. SPIE, 3333, 1201-1211 (1998).
  248. Yamada, Shintaro; Medeiros, David R.; Patterson, Kyle; Jen, Wei-Lun K.; Rager, Timo; Lin, Qinghuang; Lenci, Carlos; Byers, Jeffrey; Havard, Jennifer M.; Pasini, Dario; Frechet, Jean M. J.; Willson, C. Grant.  “Postitive and negative tone water processable photoresists: a progress report,” Proc. SPIE, 3333, 245-253 (1998).
  249. Postnikov, Sergei V.; Somervell, Mark H.; Henderson, Clifford L.; Katz, Steven; Willson, C. Grant; Byers, Jeffrey; Qin, Anwei; Lin, Qinghuang.  “Top surface imaging through silylation,” Proc. SPIE, 3333, 997-1008 (1998).
  250. Okoroanyanwu, Uzodinma; Shimokawa, Tsutomu; Byers, Jeffrey D.; Willson, C. Grant.  “Pd(II) catalyzed addition polymerization an ring opening metathesis polymerization of alicyclic monomers: routes to new matrix resins for 193nm photolithography,” J. Mol. Catal. A: Chem., 133 (1-2), 93-114 (1998).
  251. McAdams, Christopher L.; Flanagin, Lewis W.; Henderson, Clifford L.; Pawloski, Adam R.; Tsiartas, Pavlos; Willson, C. Grant.  "The Dissolution of Phenolic Polymers in Aqueous Base: The Influence of Polymer Structure,"  Proc.SPIE., 3333, 1171-1179 (1998).
  252. Henderson, C. L.;  Scheer, S. A.;  Tsiartas, P. C.;  Rathsack, B. M.;  Sagan, J. P.;  Dammel, R. R.;  Erdmann, A.;  Willson, C. G.  “Modeling Parameter Extraction for DNQ-Novolac Thick Film Resists,”  Proc. SPIE, 3333, 256-267 (1998)
  253. Havard, Jennifer M.; Vladimirov, Nikolay; and Frechet, Jean M. J.  “Photoresists with Reduced Environmental Impact:  Water-Soluble Resists Based on Photo-Cross-Linking of a Sugar-Containing Polymethacrylate,”  Macromolecules, 32, 86-94 (1999).
  254. Havard, Jennifer; Shim, S. Y.; Frechet, J.M.J.; Lin, Qinghuang; Medeiros, David R.; Willson, C. Grant; Byers, Jeffrey D.  “Design of Photoresists with Reduced Environmental Impact. I. Water-soluble Resists Based on Photocrosslinking of Poly (vinyl alcohol).  Chem. Matls., 11, 719-725 (1999).
  255. Havard, Jennifer; Yoshida, M., Pasini; D., Vladimirov, N.; Frechet, Jean M. J.; Medeiros, David R.; Patterson, Kyle; Yamada, Shintaro; Willson, C. Grant; Byers, Jeffrey D.  “Design of Photoresists with Reduced Environmental Impact.  II. Water-Soluble Resists Based on Photocrosslinking of Poly (2-Isopropenyl-2-oxazoline), Journ. Poly. Sci., 37, 9, 1225-1236 (1999).
  256. Flanagin, Lewis W.; McAdams, Christopher L.; Hinsberg, William D.; Sanchez, Isaac C.; Willson, C. Grant.  “Mechanism of Phenolic Polymer Dissolution: Importance of Acid-Base Equilibria,” Macromolecules, 32(16), 5337-5343 (1999).
  257. Flanagin, Lewis W.; Singh, Vivek K.; Willson, C. Grant.  “Molecular Model of Phenolic Polymer Dissolution in Photolithography,” Journ. Poly. Sci., Physics, 37, 2103-2113 (1999).
  258. Pasini, Dario; Low, Eric; Meagley, Robert P.; Frechet, Jean M. J.; Willson, C. Grant; Byers, Jeffrey D.  “Carbon-Rich Cyclopolymers: Their Synthesis, Etch Resistance, and Application to 193nm Microlithography,” Proc. SPIE, 3678, 94-101 (1999).
  259. B. M. Rathsack, C. E. Tabery, S. A. Scheer, C. L. Henderson; M. Pochkowski, C. Philbin, F. Kalk; P. D. Buck and C. G. Willson; "Optical Lithography Simulation and Photoresist Optimization for Photomask Fabrication," Proc. SPIE 3678, 1215-1226, (1999).
  260. Hale, Michael A.; Medeiros, David R.; Dombrowski, Katherine D.; Willson, C. Grant.  “X-Ray Diffraction and Torsional Viscosity Investigations of Laterally Linked Sc* Liquid Crystal Dimers,” Chem. Matls., 11(9), 2515-2519 (1999).
  261. Yamachika, Mikio; Patterson, Kyle; Cho, Sungseo; Rager, Timo; Yamada, Shintaro; Byers, Jeffrey; Paniez, P. J.; Mortini, B.; Gally, S.; Sassoulas, P-O.; Willson, C. Grant.  “Improvement of Post-Exposure Delay Stability in Alicyclic ArF Excimer Photoresists,” Jour. Photopoly. Sci. and Tech., 12 (4), 553-559, (1999).
  262. Colburn, M.; Johnson, S.; Damle, S.; Bailey, T.; Choi, B.; Wedlake, M.; Michaelson, T.; Sreenivasan, S.V.; Ekerdt, J.; and Willson, C.G. “Step and Flash Imprint Lithography:  A New Approach to High-Resolution Patterning,”  Proc. SPIE, 3676, 379-389, (1999)
  263. Rathsack, Benjamin M.; Tabery, Cyrus E.; Philbin, CeCe; Willson, C. Grant.  “Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators.”  Proc. SPIE, 3873, 484-492 (1999).
  264. Rathsack, Benjamin M.; Tabery, Cyrus E.; Stachowiak, Timothy B; Dallas, Tim; Xu, Cheng-Bai; Pochkowski, Mike; Willson, C. Grant.  “Characterization of a non-chemically amplified resist for photomask fabrication using a 257 nm optical pattern generator.”  Proc. SPIE, 3873, 80-91 (1999).
  265. Burns, Sean D.; Gardiner, Allen B.; Krukonis, V.J.; Wetmore, Paula M.; Qin, Anwei; Willson, C. Grant  “The Measurement of Concentration Gradients in Resist Films by a ‘Halt Development’ Technique”  Proceedings of the American Chemical Society Division of Polymeric Materials: Science and Engineering 81, 81-84 (1999).
  266. Postnikov, Sergei; Stewart, Michael; Tran, Hoang Vi; Nierode, Mark; Medeiros, David; Cao, T.; Byers, Jeffrey; Webber, Stephen; Willson, C. Grant.  “Study of resolution limits due to intrinsic bias in chemically amplified photoresists  J. Vac. Sci. Technol. B, 17 (6), pp. 3335-3338, (1999)
  267. Ruchhoeft, P.; Colburn, Matthew; Choi, Byung; Johnson, Stephen; Bailey, Todd; Damle, Shilpa; Stewart, Michael; Ekerdt, John; Sreenivasan, S. V., Wolfe, Jack; Willson, C. Grant.  “Patterning curved surfaces:  Template generation by ion beam proximity lithography and relief transfer by step and flash imprint lithography,” J. Vac. Sci. Technol. B 17(6), 2965-2969, (1999).
  268. Stewart, Michael; Postnikov, Sergei; Tran, Hoang V.; Medeiros, David; Nierode, M. A.; Cao, T.; Byers, Jeff; Webber, Steven; Willson, C. Grant.  “Measurement of Acid Diffusivity in Thin Polymer Films Above and Below Tg”  Proc. ACS, Polym. Mat. Sci. Eng. Div., 81, 58 (1999).
  269. Medeiros, David R.; Hale, Michael A..; Hung, Raymond J.P.; Leitko, Jeffrey K.; Willson, C. Grant.  “Ferroelectric cyclic oligosiloxane liquid crystals,” J. Matls. Chem., 9, 1453-1460 (1999).
  270. Flanagin, Lewis W.; Singh, Vivek K.; Willson, C. Grant.  “Surface Roughness Development During Photoresists Dissolution,” J. Vac. Sci. & Tech., B, 17(4), 1371-1379 (1999).
  271. Shintaro Yamada; Timo Rager; Jordan Owens; Jeffery Byers; Morton Nielsen; C. Grant Willson  “The design and study of water-processable positive-tone photoresists,” Polym. Mat. Sci. Eng., 81, 87-88 (1999).
  272. Kyle Patterson; Mikio Yamachika; Sungseo Cho; Timo Rager; Shintaro Yamada; Jeffery Byers;  C. Grant Willson  “Design of Alicyclic Polymers for 193 nm Photoresists Offering Enhanced Post-Exposure Delay Stability,” Polym. Mat. Sci. Eng., 81, 43-44, (1999).
  273. Lewis W. Flanagin; Christopher L. McAdams; William D. Hinsberg; Isaac C. Sanchez; C. Grant Willson  “Mechanism of Phenolic Polymer Dissolution: Importance of Acid-Base Equilibria,” Polym. Mat. Sci. Eng., 81, 469-472 (1999).
  274. Colin J. Brodsky and C. Grant Willson  “Interfacial Cationic Graft Polymerization Lithography,” Polym. Mat. Sci. Eng., 81, 83-84 (1999).
  275. Mark H. Somervell; Jeffery Byers; C. Grant Willson  “Sources of Line Edge Roughness in a Negative Tone, top Surface Imaging System,” Polym. Mat. Sci. Eng., 81, 28-29 (1999).
  276. Yamada, Shintaro; Owens, Jordan; Rager, Timo; Nielsen, Morton; Byers, Jeffery D.; Willson, C. Grant.  “The Design and Study of Aqueous-Processable Positive Tone Photoresists,” Proc. SPIE, 3999, 569-578 (2000).
  277. Rathsack, Benjamen; Tabery, Cyrus; Stachowiak, Timothy; Albelo, Jeff; and Willson, C. Grant.  “Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication” Proc. SPIE, 3999, 598-608 (2000).
  278. Somervell, Mark; Fryer, David; Osborn, Brian; Patterson, Kyle; Cho, Sungseo; Byers, Jeffrey; and Willson, C. Grant.  “Using Alicyclic Polymers in Top Surface Imaging Systems to Reduce Line Edge Roughness” Proc. SPIE, 3999, 270-282 (2000).
  279. Schmid, Gerard; Singh, Vivek; Flanagin, Lewis; Stewart, Michael; Burns, Sean; and Willson, C. Grant.  “Recent Advances in a Molecular Level Lithography Simulation” Proc. SPIE, 3999, 675-685 (2000).
  280. Stewart, Michael; Somervell, Mark; Tran, Hoang Vi; Postnikov, Sergei; and Willson, C. Grant.  “Study of Acid Transport Using IR Spectroscopy and SEM” Proc. SPIE, 3999, 665-674 (2000).
  281. Cho, Sungseo; Vander Heyden, Anthony; Byers, Jeffrey; Willson, C. Grant.  Negative tone 193 nm resists”  Proc. SPIE, 3999, 62-73 (2000).
  282. Hale, Michael; Clausi, Dominic; Willson, C. Grant; Dallas, Tim.  “Ultrahigh pressure cell for materials synthesis,” Review of Scientific Instruments, 71 (7), pp. 2784-2790, (2000.)
  283. Chiba, Takashi; Hung, Raymond; Yamada, Shintaro; Trinque, Brian; Yamachika, Mikio; Brodsky, Colin; Patterson, Kyle; Vander Heyden, Anthony; Jamieson, Andrew, Lin, Shang-Ho, Somervell, Mark; Byers, Jeffrey; Conley, Will; Willson, C. Grant.  “157 nm Resist Materials: A Progress Report” J. Photopolym Sci Technol., 13 (4), 657-664 (2000).
  284. Patterson, Kyle; Somervell, Mark; Willson C. Grant. “Challenges in Materials Design for 157 nm Photoresists,’ Solid State Technology, 43, 41 (2000).
  285. Somervell, Mark; Fryer, David; Osborn, Brian; Patterson, Kyle; Byers, Jeffrey; Willson, C. Grant; “A Study of the Fundamental Contributions to Line Edge Roughness in a 193nm, Top Surface Imaging System” J. Vac. Sci. & Tech. B, 18 (5), 2251-2559 (2000).
  286. Colburn, Matthew; Grot, Annette; Amistoso, Marie; Choi, Byung Jin; Bailey, Todd; Ekerdt, John; Sreenivasan, S.V.; Hollenhorst, James; Willson, C. Grant.  “Step and Flash Imprint Lithography for sub-100nm Patterning” Proc. SPIE, 3999, 453-457 (2000).
  287. Patterson, Kyle; Yamachika, Mikio; Hung, Raymond;  Brodsky, Colin; Yamada, Shintaro; Somervell, Mark; Osborn Brian; Hall, Daniel;  Dukovic, Gordana; Byers, Jeffrey; Conley, Willard; and Willson, C. Grant.  “Polymers for 157nm Photoresist Applications:  A Progress Report”  Proc. SPIE, 3999, 365-374 (2000).
  288. Stewart, Michael D.; Patterson, Kyle; Somervell, Mark H.; Willson, C. Grant  “Organic imaging materials:  A view of the future”  J. of Physical Organic Chemistry, 13(12), 767-774 (2000). 
  289. Rager, Timo; Willson, C. Grant.  “Synthesis and Characterization of Diastereomerically Pure Tetracyclo[6.2.1.13,6.02,7]dodec-9-ene-4-carboxylic acid Derivatives” Helvetica Chemica Acta, 83, 2769-2782 (2000).
  290. Bailey, Todd; Choi, Byung Jin; Colburn, Matthew; Meissl, Mario; Shaya, S.; Ekerdt, John G.; Sreenivasan, S.V.; Willson, C. G.  “Step and flash imprint lithography:  Template suface treatment and defect analysis”  J. Vac. Sci. Tech. B. 18 (6), 3572-3577 (2000).
  291. Brodsky, Colin; Byers, Jeff; Conley, Will; Hung, Raymond; Yamada, Shintaro; Patterson, Kyle; Somervell, Mark; Trinque, Brian; Tran, Hoang Vi; Cho, Sungseo; Chiba, Takashi; Lin, Shang-Ho; Jamieson, Andrew; Johnson, Heather; Vander Heyden, Tony; Willson, C. Grant.  “157nm Resist Materials:  A Progress Report” J. Vac. Sci. Technol. B 18, 3396-3401 (2000).
  292. Colin J. Brodsky;  Heather F. Johnson;  Brian C. Trinque;  C. Grant Willson. “Graft Polymerization Lithography: Extending Top Surface Imaging,”  Forefront of Lithographic Materials Research, Proc. of the 12th International Conference on photopolymers, McAfee, New Jersey, U.S.A, 187-196 (2000).
  293. J. Owens;  S. Yamada;  C. Grant Willson;  “The design and study of aqueous – processable positive – tone photoresists.”  Forefront of Lithographic Materials Research, Proc. of the 12th International Conference on photopolymers, McAfee, New Jersey, U.S.A, 229-238 (2000).
  294. S. D. Burns;  M .D. Stewart;  J. N. Hilfiker;  R. A. Synowicki;  G. M. Schmid;  C. Brodsky;  C. G. Willson;  “Determining Free Volume Changes During the PEB and PAB of a chemically Amplified Resist.”  Forefront of Lithographic Materials Research, Proc. of the 12th International Conference on photopolymers, McAfee, New Jersey, U.S.A, 323-334 (2000).
  295. T. Bailey;  B. J. Choi;  M. Colburn;  A. Grot;  M. Meissl;  M. Stewart;  J. G. Ekerdt;  S. V. Sreenivasan;  C. G. Willson;  “Step and Flash Imprint Lithography: A Technology Review,” Future Electron Devices, Tokyo; 11(4), 54, (2000).
  296. Willson Grant C.; McAdams Christopher L.; Yueh Wang.; Osborn Brian P. “Synthesis of comb poly (4-hydroxystyrene) using conventional and “living” free-radical polymerization,” Polym. Prepr. (ACS, DIV. Polym. Chem.), 41, 946-947 (2000).
  297. Bailey, T.; Smith, B. J.; Colburn, M.; Meissl; M.; Sreenivasan, S. V.; Ekerdt, J. G.; Willson, C. G. "Step and Flash Imprint Lithography: Defect Analysis," J. Vac. Sci. Tech. B19(6): 2806 (2001).
  298. Choi, B. J.; Sreenivasan, S. V.; Johnson,S.; Colburn, M.; Willson, C. G. "Design of Orientation Stages for Step and Flash Imprint Lithography" Amer Soc Precision Eng 25(3) 192-199 (2001).
  299. Choi, B. J., Meissl, M., Colburn, M., Bailey, T., Ruchhoeft, P., Sreenivasan, S.V., Prins, F. Banerjee, S., Ekerdt, J. and Willson, C. G. “Layer-to-Layer Alignment for Step and Flash Imprint Lithography,” Proc. SPIE, Vol. 4343, pp. 436-439 (2001).
  300. Brodsky, C., Trinque, B., Johnson, H. and Willson, C. G. “Advances in Graft Polymerization Lithography,” Proc. SPIE, Vol. 4343, pp. 415-420 (2001).
  301. Rathsack, B., Tabery, C., Albelo, Jeff, Buck, P., and Willson, C. G. “Characterization of an Acetal Based Chemically Amplified Resist for 257 nm Laser Mask Fabrication,” Proc. SPIE, 4186, pp. 8163-8168 (2001).
  302. Erdmann, Andreas; Henderson, Clifford; Willson, C. Grant.  “Impact of exposure induced refractive index changes of photoresists on the photolithographic process” Journal of Applied Physics, 89(12), 8163-8168 (2001).
  303. Gardiner, Allen; Burns, Sean; Qin, Anwei; Willson, C. Grant.  “Determination of Residual Casting Solvent Concentration Gradients in Resist Films by a ‘Halt Development’ Technique”  Jour. of Vac. Scie. & Tech. B 19(1) 136-141 (2001).
  304. M. Colburn, T. Bailey, B.J. Choi, J.G. Ekerdt, S.V. Sreenivasan, C.G. Willson; “Development and Advantages of Step-and-Flash Lithography”; Solid State Technology; 46(7) 67 (2001).
  305. Willson, C. G. and Stewart, M. “Future resists” Microlithography World (Special 10th Anniversary Issue) 10 (3), 14 (2001).
  306. H. V. Tran. et al.  “Fluoropolymer Resist Materials for 157 nm Microlithography,” J. Photopolym. Sci. Technol. 14, 669-674  (2001).
  307. Hung, R., Tran, H.V., Trinque, B., Chiba, T., Yamada, S., Sanders, D., Connor, E., Grubbs, R., Klopp, J., Frechet, M.J., Thomas, B., Shafer, G., DesMarteau, Conley, W., Willson, C. G., “Resist Materials for 157 nm Microlithography:  An Update” Proc. SPIE, 4345, 385-395 (2001).
  308. Schmid, G., Smith, M., Mack, C., Singh, V., Burns, S. and Willson, C. G. “Understanding Molecular Level Effects during Post Exposure Processing” Proc. SPIE, 4345, 1037-1047 (2001).
  309. Jamieson, A., Somervell, M., Tran, H.V., Hung, R., MacDonald, S., Willson, C. G., “Top Surface Imaging at 157 nm” Proc. SPIE, 4345, 406-416 (2001).
  310. Burns, S., Gardiner, A., Krukonis, V., Wetmore, P., Schmid, G., Lutkenhaus, J., Flanagin, L., and Willson, C. G. “Understanding Nonlinear Dissolution Rates in Photoresists” Proc. SPIE, 4345, 37-49 (2001).
  311. Stewart, M., Schmid, G., Postnikov, S., Willson, C. G. “Mechanistic Understanding of Line End Shortening” Proc. SPIE, 4345, 10-18 (2001).
  312. Hall, D., Osborn, B., Patterson, K., Burns, S., and Willson, C. G. “Dissolution Behavior of Fluoroalcohol-Substituted Polystyrenes” Proc. SPIE, 4345, 1066-1072 (2001).
  313. Benjamin M. Rathsack, Peter I. Tattersall, Cyrus E. Tabery, Kathleen Lou, Tim B. Stachowiak, David R. Medeiros, Jeff A. Albelo, Peter Y. Pirogovsky, Dennis R. Mckean and C. Grant Willson  “The Rational Design of Bleachable Non-Chemically Amplified DUV Photoactive Compunds,” Proc. SPIE, 4345, 543- xx (2001).
  314. Octavia P. Lehar, Mark Spak, Stephen Meyer, Ralph R. Dammel, Colin Brodsky and C. Grant Willson  “Resist Re-Hydration During Thick Film Processing,” Proc. SPIE, 4345, 463-474 (2001).
  315. Klopp, John; Pasini, Dario; Byers, Jeffrey; Willson, C. Grant; Frechet, Jean M.J., “Microlithographic Assessment of a Novel Family Transparent and Etch Resistant Chemically Amplified 193nm Resists Based on Cyclopolymers”  Chem Mater.,13, 4147-4153 (2001).
  316. Colburn, M., Grot, A., Choi, B. J., Amistoso, M., Bailey, T., Sreenivasan, S.V., Ekerdt, J., and Willson, C. G. “Patterning non-flat substrates with a low pressure, room temperature imprint lithography process,” Jour. of Vac. Sci. & Tech. B 19(6), 2162-2172 (2001).
  317. Colburn, M., Suez, I., Choi, B. J., Meissl, M., Bailey, T., Sreenivasan, S.V., Ekerdt, J. G., and Willson, C. G. “Characterization and Modeling of Volumetric and Mechanical Properties for Step and Flash Imprint Lithography Photopolymers,” Jour. of Vac. Sci. & Tech. B 19(6), 2685-2689 (2001).
  318. M. D. Stewart and C. G. Willson, "Photoresists," Encyclopedia of Materials: Science and Technology (Book Chapter), 6973-6978 (2001).
  319. Gerard M. Schmid; Michael D. Stewart; Vivek K. Singh*; C.G.Willson  “Spatial Distribution of Reaction Products in Positive Tone Chemically Amplified Resists,” Jour. of Vac. Sci. & Tech. B 20(1), 185-190 (2002).
  320. Burns, S., Schmid, G., Tsiartas, P., Willson, C. G. “Advancements to the Critical Ionization Dissolution Model,” Jour. of Vac. Sci. & Tech. B 20(2), 537-543 (2002).
  321. Trinque, B., Chiba, T., Hung, R., Chambers, C., Pinnow, M., Tran, H.V., Wunderlich, J., Hsieh, Y., Thomas, B., Shafer, G., Shafer, D., DesMartequ, D., Conley, W. and Willson, C. G., “Recent Advances in Resists for 157nm Microlithography” Jour. of Vac. Sci. & Tech. B 20(2), 531-536 (2002).
  322. D.J. Resnick, D.P. Mancini, S.V. Sreenivasan, C.G. Willson, “Release Layers for Contact and Imprint Lithography,” Semiconductor International, June 2002, 71-80 (2002).
  323. T.C. Bailey, D.J. Resnick, D. Mancini, K.J. Nordquist, W.J. Dauksher, E. Ainley, A. Talin, K. Gehoski, J.H. Baker,  B.J. Choi, S. Johnson, M. Colburn, M. Meissl, S.V. Sreenivasan, J.G. Ekerdt, and C.G. Willson, "Template Fabrication Schemes for Step and Flash Imprint Lithography,"  Microelectronic Engineering, 61-62, 461-467 (2002).
  324. Tran, H. V.; Hung, R. J.; Chiba, T.; Yamada, S.; Mrozek, T.; Hsieh, Y.-T.; Chambers, C. R.; Osborn, B. P.; Trinique, B. C.; Pinnow, M. J.; MacDonald, S. A.; and Willson, C. G. "Metal-Catalyzed Vinyl Addition Polymers for 157 nm Resist Applications: II. Fluorinated Norbornenes - Synthesis, Polymerization, and Initial Imaging Results," Macromolecules 35(17); 6539-6549 (2002).
  325. Bailey, T. C.; Johnson, S. C.; Sreenivasan, S. V.; Ekerdt, J. G.; Willson, C. G. "Step and Flash Imprint Lithography: An Effective Nanoscale Patterning Method," J. Photopolymer Sci. Tech. 15(3); p.481 (2002).
  326. Sreenivasan, S. V.; Willson, C. G.; Schumaker, N. E.; and Resnick, D. J. "Cost of Ownership Analysis for Patterning Using Step and Flash Imprint Lithography" Proc. of SPIE, 4688, p.903-909 (2002).
  327. Willson, C. G., Trinique, B. C., Osborn, B. P., Chambers, C. R., Hsieh, Y., Kusumoto, S., Zimmerman, P., Miller, D. and Conley, W. "Fluorinated Polymers and Dissolution Inhibitors for 157nm Microlithography" ACS Preprint, 224:012-Fluor Part 1, Aug. 18, (2002).
  328. Resnick, D. J.; Dauksher, W. J.; Mancini, D.; Nordquist, K. J.; Ainley, E.; Gehoski, K.; Baker, J. H.; Bailey T. C.; Choi, B. J.; Johnson, S.; Sreenivasan, S. V.; Ekerdt, J. G.; and Willson, C. G. "High Resolution Templates for Step and Flash Imprint Lithography," Proc. SPIE: Emerging Lithographic Technologies VI, 4688: p.205-213 (2002).
  329. Dauksher, W. J., Nordquist, K. J.; Mancini, D. P., Resnick, D. J., Baker, J. H.; Hooper, A. E.; Talin, A. A.; Bailey, T. C.; Lemonds, A. M.; Sreenivasan, S.V.; Ekerdt, J. G.; Willson, C. G.; "Characterization of and Imprint Results using ITO-based Step and Flash Imprint Lithography Templates". J. Vac. Sci. Tech. B, 20(6) (2002).
  330. Mancini, D. P., Gehoski, K. A., Ainley, E., Nordquist, K. J., Resnick, D. J., Bailey, T. C., Sreenivasan S. V., Ekerdt, J. G., Willson, C. G., "Hydrogen Silsesquioxane for Direct E-beam Patterning of Step and Flash Imprint Lithography Templates". J. Val. Sci. Tech. B, 20(6) (2002).
  331. Willson, C. G, Trinque, B. C., Osborn, B. P., Chambers, C. R, Hsieh, Y. T., Chiba, T., Zimmerman, P., Miller, D., Conley, W., "The Design of Resist Materials for 157nm Lithography," J. of Photopolymer Sci. and Tech., 15(4), p. 583-590 (2002).
  332. Bailey, T. C., Johnson, S. C., Dickey, M. D., Smith B.J., Jamieson, A. T., Kim, E. K., Stacey, N. A., Mancini, D., Dauksher, W. J., Nordquist, K., Resnick, D. J., Sreenivasan, S. V., Ekerdt, J. G., Willson; C. G., "Recent Advances in Step
    and Flash Imprint Lithography;" Proc. Arch Interface (2002).
  333. Lin, Eric K.: Soles, Christopher L.; Goldfarb, Dario L.; Trinque, Brian C.,: Burns, Sean D.; Jones, Ronald L.; Lenhart, Joseph L.; Angelopoulos, Marie; Willson, C. Grant; Satija, Shil K.; Wu, Wen-li. “Direct Measurement of the Reaction Front in Chemically Amplified Photoresists,” Science 297, 372-375 (2002).
  334. Schmid, Gerard M., Burns, Sean D., Tsiartas, Pavlos C., and Willson, C. Grant, “ Electrostatic Effects During Dissolution Of Positive Tone Photoresists.” Journal of Vacuum Science & Technology, B,20(6), 2913 (2002): Also published in Virtual Journal of Nanoscale Science & Technology, Feb. 3, (2003).
  335. Stewart, Michael D., Tran, Hoang Vi, Schmid, Gerard M., Stachowiak, Timothy B., Becker, Darren J., Willson, C. Grant; “Acid Catalyst Mobility in Resist Resins,” Journal of Vacuum Science & Technology, B20(6), Nov/Dec (2003).
  336. Trinque, Brian C., Chambers, Charles R., Osborn, Brian P., Callahan, Ryan P., Lee, Geun Su, Kusumoto, Shiro, Sanders, Daniel P., Grubbs, Robert H., Conley, Willard E., Willson, C. Grant; “Vacuum-UV Influenced Design of Polymers and Dissolution Inhibitors For Next Generation Photolithography” J. of Florida Chem., 122, p. 17-26 (2003).
  337. Sanders, D. P.; Connor, E. F.; Grubbs, R. H.; Hung, R. J.; Osborn, B. P.; Chiba, T.; Mac Donald, S. A.; Willson, C. G.; Conley, W. “Metal-Catalyzed Addition Polymers for 157nm Resist Applications. Synthesis and Polymerization of Partially Flourinated, Ester-Functionalized Tricyclo[4.2.1.02,5]non-7-enes.” Macromolecules 36 (5),1534-1542 (2003).
  338. Grayson, S. M.; Willson, C. G. “Self-orienting and self-assembling mesoscale amphiphilic hydrogels.” Polym. Mat. Sci. Eng. 89, 219-220 (2003).
  339. Jason E. Meiring; Matthew J. Schmid, Scott Grayson; Benjamen M. Rathsack; David M. Johnson, Romy Kirby, , Ramakrishnan Kannappan, Kalpana Manthiram; Jennifer Stotts; Zachary Hogan; Ryan J. Russell, Michael V. Pishko, Andrew D. Ellington, C. Grant Willson, “Hydrogel biosensors arrays indexed through shape recognition,” Polym. Mat. Sci. Eng. 89, 217-218 (2003).
  340. Willson, C. Grant and Trinque, Brian C. “The evolution of materials for the photolithographic process,” J. Photopoly. Sci. Tech., 16(4), 621-627 (2003).
  341. Sharif, Iqbal; DesMarteau, Darryl; Ford, Larry; Shafer, Gregory J.; Thomas, Brian; Conley, Will; Zimmerman, Paul; Miller, Daniel; Lee, Guen Su; Chambers, Charles R.; Trinque, Brian C.; Chiba, Takashi; Osborn, Brian P.; Willson, C. Grant. “Advances in TFE-based fluoropolymers for 157-nm lithography: a progress report.” Proc. SPIE., 5039, 33-42, (2003).
  342. Stewart, Michael D.; Schmid, Gerard M.; Goldfarb, Dario L.; Angelopoulos, Marie; Willson, C. Grant. “Diffusion-induced line-edge roughness.” Proc. SPIE., 5039, 415-422, (2003).
  343. Conley, Will; Trinque, Brian C.; Miller, Daniel; Caporale, Stefan; Osborn, Brian P.; Kumamoto, Shiro; Pinnow, Matthew J.; Callahan, Ryan; Chambers, Charles R.; Lee, Guen Su; Zimmerman, Paul; Willson, C. Grant. “Negative photoresist for 157-nm microlithography: a progress report.” Proc. SPIE., 5039, 622-626, (2003).
  344. Chambers, Charles R.; Kusumoto, Shiro; Lee, Guen Su; Vasudev, Alok; Walthal, Leonidas; Osborn, Brian P.; Zimmerman, Paul; Conley, Will; Willson, C. Grant. “Dissolution inhibitors for 157-nm photolithography.” Proc. SPIE, 5039, 93-102, (2003).
  345. Burns, Sean D.; Schmid, Gerard M.; Trinque, Brian C.; Willson, James; Wunderlich, Jennifer; Tsiartas, Pavlos C.; Taylor, James C.; Burns, Ryan L.; Willson, C. Grant. “Fundamental study of photoresist dissolution with real time spectroscopic ellipsometry and interferometry.” Proc. SPIE, 5039, 1063-1075, (2003).
  346. Jones, Ronald L.; Hu, Tengjiao; Prabhu, Vivek M.; Soles, Christopher L.; Lin, Eric K.; Wu, Wen-li; Goldfarb, Dario L.; Angelopoulos, Marie; Trinque, Brian; Willson, C. Grant. “Deprotection volume characteristics and line-edge morphology in chemically amplified resists.” Proc. SPIE, 5039, 1031-1040, (2003).
  347. Smith, Britain J.; Stacey, Nicholas A.; Donnelly, J. P.; Onsongo, David M.; Bailey, Todd C.; Mackay, Chris J.; Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Sreenivasan, S. V.; Banerjee, Sanjay K.; Ekerdt, John G.; Willson, C. Grant. “Employing Step-and-Flash imprint lithography for gate-level patterning of a MOSFET device.” Proc. SPIE, 5037, 1029-1034, (2003).
  348. Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E. “Imprint lithography: lab curiosity or the real NGL.” Proc. SPIE, 5037, 12-23, (2003).
  349. Johnson, Stephen C.; Bailey, Todd C.; Dickey, Michael D.; Smith, Britain J.; Kim, Eunha K.; Jamieson, Andrew T.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Mancini, David P.; Dauksher, William J.; Nordquist, Kevin J.; Resnick, Douglas J. “Advances in Step and Flash Imprint Lithography.” Proc. SPIE, 5037, 197-202, (2003).
  350. Johnson, Heather F.; Ozair, Sahban N.; Jamieson, Andrew T.; Trinque, Brian C.; Brodsky, Colin C.; Willson, C. Grant. “Cationic graft polymerization lithography.” Proc. SPIE, 5037, 943-951, (2003).
  351. Trinque, Brian C.; Osborn, Brian P.; Chambers, Charles R.; Hsieh, Yu-Tsai; Corry, Schuyler; Chiba, Takashi; Hung, Ryamond J.; Tran, Hoang Vi; Zimmerman, Paul; Miller, Daniel; Conley, Willard; Willson, C. Grant. “Advances in Resists for 157nm Microlithography” Proc. SPIE 4690, 58-68 (2003).
  352. Conley, Willard; Miller, Daniel; Chambers, Charles; Osborn, Brian; Hung, Raymond J.; Tran, Hoang Vi; Trinque, Brian C.; Pinnow, Matthew; Chiba, Takashi; McDonald, Scott; Zimmerman, Paul; Dammel, Ralph; Rmano, Andrew; Willson, C. Grant. “Dissolution Inhibitors for 157nm Microlithography,” Proc. SPIE 4690, 69-75 (2003).
  353. Conley, Will; Trinque, Brian C.; Miller, Daniel; Zimmerman, Paul; Kudo, Takanori; Dammel, Ralph; Romano, Andrew; and Willson, C. Grant. “Negative Photoresist for 157nm Microlithography: A Progress Report” Proc. SPIE 4690, 94-109 (2003).
  354. Lin, Eric K.; Soles, Christopher L.; Goldfarb, Dario L.; Trinque, Brian C.; Burns, Sean D.; Jones, Ronald L.; Lenhart, Joseph L., Angelopoulos, Marie; Willson, C. Grant; Satija, Sushil K; Wu, Wen-li. “Measurement of the Spatial Evolution of the deprotection Reaction Front with Nanometer Resolution using Neutron Reflectometry,” Proc. SPIE 4690, 313-320 (2003).
  355. Burns, Sean D.; Medeiros, David R.; Johnson, Heather F.; Wallraff, Gregory M.; Hinsberg, William D.; Willson, C. Grant. “The Effect of Humidity on Deprotection Kinetics in Chemically Amplified Resists” Proc. SPIE 4690, 321-331 (2003).
  356. Schmid, Gerard M., Burns, Sean D.; Stewart, Michael D.; Willson, C. Grant. “Mesoscale Simulation of Positive Tone Chemically Amplified Photoresists” Proc. SPIE 4690, 381-390 (2003).
  357. Stewart, Michael D.; Becker, Darren J.; Stachowiak, Timothy B.; Schmid, Gerard M.’ Michaelson, Timothy B.; Tran, Hoang Vi; Willson, C. Grant. “Acid Mobility in Chemically Amplified Photoresists” Proc. SPIE 4690, 943-951 (2003).
  358. Jamieson, Andrew; Willson, C. Grant; Hsu, Yautzong; Brodie, Alan. “A Hydrogen Silsesquioxane Bilayer Resist Process for Low-Voltage Electron Beam Lithography” Proc. SPIE 4690, 1171-1179 (2003).
  359. Johnson, S.; Resnick, D. J.; Mancini, D.; Nordquist, K.; Dauksher, W. J.; Gehoski, K.; Baker, J.H.; Baker, L.; Dues, A.; Hooper, A.; Bailey, T.C.; Sreenivasan, S.V.; Ekerdt, J.G.; Willson, C. G. “Fabrication of Multi-tiered structures on step and flash imprint lithography templates.” Microelectronic Engineering, 67-68, 221-228 (2003).
  360. Resnick, D. J.; Dauksher, W.J.; Mancini, D. P.; Nordquist, K. J.; Bailey, T.C.; Johnson, S. C.; Stacey, N. A.; Ekerdt, J. G.; Wilson, C. G.; Sreenivasan, S.V.; Schumaker, N. E. “Imprint lithography for integrated circuit fabrication.” Jour. of Vac. Sci. & Tech., B: Microelectronics and Nanometer Structures—Processing, Measurement, and Phenomena, 21(6): p. 2624-2631 (2003).
  361. Resnick, D. J.; Dauksher, W.J.; Mancini, D. P.; Nordquist, K. J.; Bailey, T.C.; Johnson, S. C.; Stacey, N. A.; Ekerdt, J. G.; Wilson, C. G.; Sreenivasan, S.V. “Improved step and flash imprint lithography templates for nanofabrication.” Microelectronic Engineering, 69(2-4), 412-419 (2003).
  362. Schmid, Matthew; Meiring, Jason; Kirby, Romy; Manthiram, Kalpana; Grayson, Scott; Ellington, Andrew; Willson, C. Grant. “Functionalizing hydrogel based biosensors with DNA oligomers for single nucleotide polymorphism detection.” Polym. Mater. Sci. Eng., 89, 215-216 (2003).
  363. Schmid, Gerard M.; Stewart, Michael D.; Burns, Sean D.; Willson, C. Grant. “Mesoscale Monte Carlo Simulation of Photoresist Processing.” J. Electrochemical Soc. 151 (2), (2004).
  364. Yamada, Shintaro; Mrozek, Thomas; Rager, Timo; Owens, Jordan; Rangel, Jose; Willson, C. Grant; Byers, Jeffery. “Toward Environmentally Friendly Photolithographic Materials: A New Class of Water-Soluble Photoresists.” Macromolecules 37(2), 377-384, (2004).
  365. Kim, Eui K.; Stacey, N.A.; Smith, B. J.; Dickey, M.D., Johnson, S.C.; Trinque, B.C.; Willson, C. G. “Vinyl Ethers in Ultraviolet Curable Formulations for Step and Flash Imprint Lithography” Jour. Vac. Sci. & Tech., B: Microelectronics and Nanometer Structures—Processing, Measurement, and Phenomena, 22, 131-135 (2004).
  366. Chambers, C. R.; Kusumoto, S.; Osborn, B. P.; Vasudeve, A.; Ootani, M.; Walthal, L.; McMichael, H.; Zimmerman, P. A.; Conley, W. E.; Willson, C. G. “Design of dissolution inhibitors for chemically amplified photolithographic systems” Proc. SPIE, 5376, 360-368 (2004).
  367. Taylor, J. C.; Chambers, C. R.; Deschner, Ryan; LeSuer, R. J.; Conley, W. E.; Burns, S. D.; Willson, C. G. “Implications of immersion lithography on 193nm photoresists. Proc. SPIE, 5376, 34-43 (2004).
  368. Tatersall, P. I.; Breslin, D.; Grayson, S. M.; Heath, W. H.; Lou, K.; McAdams, C.L.; McKean, D.; Rathsack, B. M.; Willson, C. G.; “Synthesis and Properties of Diazopiperidiones for Use in Nonchemically Amplified Deep UV Photoresists,” Chem. Mater., 16(9), 1770-1774 (2004).
  369. Leeson, M. J.; Yueh, W.; Tattersall, P. I.; Pawloski, A.; Grayson, S. M.; Willson, C. G. “Synthesis and Reactivity of 3-Diazo-4-oxocoumarins for Photolithographic Applications” Chem. Mater., 16(9), 1763-1769 (2004).
  370. LeSuer, R. J.; Fan, F. F.; Bard, A. J.; Taylor, C.; Tsiartas, P.; Willson, C. G.; Conley, W.; Feit, G.; Kunz, R. “Using scanning electrochemical microscopy t probe chemistry at the solid-liquid interface in chemically amplified immersion lithography,” Proc. SPIE, 5376, 115-125 (2004).
  371. Schmid, G.M.; Stewart, M. D.; Wang, C. Y.; Vogt, B. D.; Prabhu, V. M.; Lin, E. K.; Willson, C. G. “Resolution limitations in chemically amplified photoresist systems” Proc SPIE, 5376, 333-341 (2004).
  372. Colburn, Matthew; Choi, Byung Jin; Sreenivasan, S.V.; Bonnecaze, Roger T.; Willson, C. Grant. “Ramifications of Lubrication Theory on Imprint Lithography,” Microelectronic Engineering 75(3), 321-329 (2004).
  373. Burns, R. L.; Johnson, S. C.; Schmid, G. M.; Kim, E. K.; Dickey, M. D.; Meiring, J.; Burns, S. D.; Stacey, N. A.; Willson, C. G. “Mesoscale modeling for SFIL simulating polymerization kinetics and densification,” Proc. SPIE, 5374, 348-360 (2004).
  374. Xu, F.; Stacey, N.; Watts, M.; Truskett, V.; McMackin, I.; Choi, Jin; Schumaker, P.; Thompson, E.; Babs, D.; Sreenivasan, S.V.; Willson, C. G.; Schumaker, N. “Development of Imprint Materials for the Step and Flash Imprint Lithography Process,” Proc. SPIE, 5374, 232-241 (2004).
  375. Michaelson, Timothy, Jamieson, Andrew; Pawloski, Adam R.; Byers, Jeffrey; Acheta, Alden; Willson, C. Grant. “Understanding the Role of Base Quenchers in Photoresists” SPIE 5376, 1282-1293 (2004).
  376. Jones, Ronald L.; Hu, Tengjiao; Lin, Eric K.; Wu, Wen-Li; Goldfarb, Dario L.; Angelopoulos, Marie; Trinque, Brian; Schmid, Gerard M.; Stewart, Michael D.; Willson, C. Grant. “Formation of Deprotected Fuzzy Blobs in Chemically Amplified Resists,” Journal of Polymer Science, Part B: Polymer Physics, 42, 3063-3069 (2004).
  377. Yan, X.; Liu, G.; Dickey, M.; Willson, C. G. “Preparation of Porous Polymer Membranes Using Nano- or Micro-pillar Arrays as Templates” Polymer 45, 8469-8474 (2004).
  378. Meiring, J. E.; Schmid, M. J.; Grayson, S. M.; Rathsack, B. M.; Johnson, D. M.; Kirby, R.; Kannappan, R.; Manthiram, K.; Hsia, B.; Hogan, Z. L.; Ellington, A. D.; Pishko, M. V. and Willson, C. G. “Hydrogel Biosensor Array Platform Indexed by Shape,” Chem. Mater. 16, 5574-5580 (2004).
  379. Tattersall, P. I.; Breslin, D.; Grayson, S.; Heath, W.; Lou, K.; McAdams, C.; McKean, D.; Rathsack, B.; Yueh, Wang; Willson, C. G. “Synthesis and Properties of Diazopiperidiones for use in Non-Chemically Amplified Deep UV Resists,” Chem. Matl. 16(9), 1770-1774 (2004).
  380. Jamieson, A. T.; Willson, C. G.; Brodie, A.; Hsu, Y. “Low voltage Electron Beam Lithography Resist Processes: Top Surface Imaging and Hydrogen Silisequioxane Bilayer” JM3, 3(3), pp. 442-449 (2004).
  381. Dickey, M. D.; Stewart, M. D.; Willson, C. G. “An Automated Statistical Process Control Study of Inline Mixing Using Spectrophotemetric Detection” Jour. of Chem. Ed. (xxxx).
  382. Resnick, Douglas J.; Sreenivasan, S.V.; Willson, C. Grant. “Step and Flash Imprint Lithography” Materials Today, February 2005, p. 34-42.
  383. Yan, Xiaohu Yan; Liu, Guojun; Dickey, Michael; Willson, C. Grant. “Preparation of porous polymer membranes using nano- or micro-pillar arrays as templates” Polymer 45, 8469-8474 (2004).
  384. Schmid, Gerard; Burns, Sean; Stewart, Michael; Stewart, Michael; Tsiartas, Pavlos; Meiring, Jason; and Willson, C. Grant. “Mesoscale Monte Carlo simulation of positive-tone, chemically amplified photoresist processing” Polym. Mater. Sci. Eng., 90, 285-286 (2004).
  385. Dickey, Michael; Willson, C. G. “Effects of oxygen on step and flash imprint lithography photopolymerization kinetics” Polym. Mater. Sci. Eng. 90, 24-25 (2004).
  386. Johnson, Heather; Ozair, Sahban; Winters, Kristina; Willson, C. G. “Designing materials for cationic graft lithography, Polym. Mater. Sci. Eng., 42, 3063-3069 (2004).
  387. Rathsack, Benjamin; Medeiros, David; Willson, C. Grant “Resists for Mask Making” (Chapter 15) Handbook of PHotomask Manufacturing Technology. CRC Press, ISBN 0-8247-5274-7 (2005).
  388. Gates, B. D.; Xu, Q.; Stewart, M.; Deschner, R.; Willson, C. G.; Whitesides, G. M. “New Approaches to Nanofabrication: Molding, Printing, and Other Techniques,” Chem. Rev. 105, 1171-1196 (2005).
  389. Stewart, M D.; Johnson, S. C.; Sreenivasan, S. V.; Resnick, D. J.; Willson, C. G. “Nanofabrication with step and flash imprint lithography” J. Microlith., Microfab., Microsyst. 4(1), 011002 (2005).
  390. Michaelson, Tim; Pawloski, Adam; Acheta, Alden; Nishimura, Yukio; Willson, C. G. “The effects of chemical gradients and photoresist composition on lithographically generated line edge roughness,” SPIE 5753 pp. 368-379 (2005).
  391. Meiring, Jason; Michaelson, Timothy B.; Jamieson, Andrew; Schmid, Gerard M.; Willson, C. Grant “Using Mesoscale Simulation to Explore Photoresist Line Edge Roughness” SPIE 5753 pp.350-360 (2005).
  392. Taylor, J. Christopher; Shayib, Ramzy; Goh, Sumarlin; Chambers, Charles R.; Conley, Will; Lin, Shang-Ho; Willson, C. Grant. “Fluids and resists for hyper NA immersion lithography,” SPIE 5753, pp. 836-846.
  393. Conley, Will; LeSuer, Robert J.; Fan, Frank F.; Bard, Allen J.; Taylor, Chris; Tsiartas, Pavlos; Willson, C. Grant; Romano, Andrew; Dammel, Ralph. “Understanding the Photoresist Surface-Liquid Interface for ArF Immersion Lithography,” SPIE, 5753, pp. 64-77 (2005).
  394. Kim, E. K.; Ekerdt, J. E.; Willson, C. G. “Importance of evaporation in the design of materials for step and flash imprint lithography,” J. Vac Sci. Technol. B 23(4) (2005).
  395. Stewart, Michael D.; Wetzel, Jeffrey T.; Schmid, Gerard M.; Palmieri, Frank; Thompson, Ecron; Kim, Eui Kyoon; Wang, David; Sotoodeh, Ken; Jen, Kane; Johnson, Stephen C.; Hao, Jianjun; Dickey, Michael D.; Nishimura, Yukio; Laine, Richard M.; Resnick, Douglas J.; Willson, C. Grant. “Direct Imprinting of Dielectric Materials for Dual Damascene Processing,” SPIE, 5751, pp. 210-218 (2005).
  396. Tsiartas, Pavlos C.; Schmid, Gerard M.; Johnson, Heather F.; Stewart, Michael D.; Willson, C. Grant. “Quantifying acid generation efficiency for photoresist applications,” J. Vac. Sci Technol. B 23(1), pp. (2005).
  397. Nishimura, Yukio; Michaelson, Timothy B.; Meiring, Jason E.; Stewart, Michael D.; Willson, C. Grant. “Line Edge Roughness in Chemically Amplified Resist: Speculation, Simulation and Application” Jour. of Photopolymer Science and Technology, 18(4), 457-465, (2005).
  398. Taylor, Christopher; LeSuer, Robert J.; Chambers, Charles R.; Fan, Fu-Ren F.; Bard, Allen J.; Conley, Willard E.; Willson, C. Grant. “Experimental Techniques for Detection of Components Extracted from Model 193 nm Immersion Lithography Photoresists,” Chem. Mater. 17, 4194-4203 (2005).
  399. Dickey, M. D.; Burns, R. L.; Kim, E. K.; Johnson, S. C.; Stacey, N. A.; Willson, C. G. “A Study of the Kinetics of Step and Flash Imprint Lithography Photopolymerization,” AIChE Journal 51(8) pp2547-55 (2005).
  400. Conley, N. R.; Hung, R. J.; Willson, C. Grant. “A New Synthetic Route to Authentic N-Substituted Aminomaleimides” J. Org. Chem. 70(11), 4553-4555 (2005).
  401. MacDonald, Susan; Hughes, Greg; Stewart, Michael; Palmieri, Frank; Willson, C. Grant. “Design and Fabrication of Highly Complex Topographic Nano-imprint Template for Dual Damascene Full 3-D Imprinting,” Proc. SPIE 5992 p. 5992 (2005) (25th Annual BACUS Symposium on Photomask Technology).
  402. Dickey, Michael D.; Willson, C. Grant. “Kinetic Parameters for Step and Flash Imprint Lithography Photopolymerization” AIChE Journal (online), 52 (2), pp. 777-784 (2006).
  403. Pawloski, Adam R.; Acheta, Alden, Levinson, Harry J.; Michaelson, Timothy B.; Jamieson, Andrew; Nishimura, Yukio; Willson, C. Grant. “Line Edge Roughness and Intrinsic Bias for Two Methacrylate Polymer Resist Systems,” J. Microlith., Microfab., Microsyst. 5(2), pp. 023001-1 through 023001-16 (2006).
  404. Yan, Xiaohu; Liu, Guojun; Hu, Jiwen; Willson, C. Grant. “Co-aggregation of B-C and D-C Diblock Copolymers with H-Bonding C blocks in Block-selective Solvents,” Macromolecules 39(5), pp. 1906-1912 (2006).
  405. Kim, E. K.; Stewart, M. D.; Wu, K.; Palmieri, F.L.; Dickey, M. D.; Ekerdt, J. G. and Willson, C. G. “Vinyl Ether Formulations for Step and Flash Imprint Lithography,’ J. Vac. Sci. Technol. B 23(6), pp. 2967-2971 (2005)
  406. Grayson, Scott M.; Long, Brian K.; Kusomoto, Shiro; Osborn, Brian P.; Callahan, Ryan P.; Chambers, Charles R. and Willson, C. Grant. “Synthesis and Characterization of Norboranediol Isomers and Their Fluorinated Analogues,” J. Org. Chem. 71, pp 341-344, (2006).
  407. Wu, K.; Bailey, T. C.; Willson, C. G.; Ekerdt, J. G. “Surface Hydration and Its Effect on Fluorinated SAM Formation on SiO2 Surfaces,” Langmuir, 21, pp. 11795-11801 (2005).
  408. Johnson, S.; Burns, R.; Kim, E. K.; Dickey, M.; Schmid, G.; Meiring, J.; Burns, S.; Willson, C. G. “Effects of etch barrier densification on step and flash imprint lithography,” J. Vac. Sci. Technol. B 23(6), pp. (2005).
  409. Kim, E. K.; Willson, C. Grant. “Thermal analysis for step and flash imprint lithography during UV curing process,” Microelectronic Engineering, 82 (2), pp. 212-217 (2006).
  410. Dickey, M. D.; Stewart, M. D.; Willson, C. G. “An Automated Statistical Process Control Study of Inline Mixing Using Spectrophotemetric Detection” Jour. of Chem. Ed. 83(1), pp. 110-113 (2006).
  411. Stewart, Michael D.; Willson, C. Grant. “Imprint Materials for Nanoscale Devices,” MRS Bulletin 30, pp. 947-951 (2005).
  412. Dickey, Michael D.; Gupta, Suresh; Leach, K. Amanda; Collister, Elizabeth; Willson, C. Grant; Russell, Thomas P. “Novel 3-D Structures in Polymer Films by Coupling External and Internal Fields,” Langmuir 22(9), 4315-4318 (2006).
  413. Costner, Elizabeth; Taylor, J. Christopher; Caporale, Stefan; Wojtczak, William; Dewulf, Dean; Conley, Will; Willson, C. Grant. “New High Index Fluids for Immersion Lithography,” Proc. SPIE 6153, 6153OB-1-10 (2006)
  414. Palmieri, Frank; Stewart, Michael D.; Wetzel, Jeff; Hao, Jianjun; Nishimura, Yukio; Jen, Kan; Flannery, Colm; Li, Bin; Chao, Huang-Lin; Young, Soo; Kim, Woon Chun; Ho, Paul S.; Willson, C. Grant. ‘Multi-level Step and Flash Imprint Lithography for Direct Patterning of Dielectrics,” Proc. SPIE 6151, p. 6151-6159 (2006).
  415. Lin, Michael W.; Chao, Huang-Lin; Kim, Eui K.; Palmieri, Frank; Kim, Woon C.; Dickey, Michael; Ho, Paul S.; Willson, C. G. “Planarization for reverse-tone step and flash imprint lithography,” Proc. SPIE 6151, pp. 61512G, (2006).
  416. Tsiartas, Pavlos C.; Dickey, Michael D.; Allrich, Keris E.; Willson, C. G. “Photocurable Pillar Arrays Formed via AC- and Ultrasound-Induced Electrohydrodynamic Instabilities,” Proc. SPIE, 6151, pp. 920-926 (2006).
  417. Schmid, Gerard M.; Stewart, Michael D.; Wetzel, Jeffrey; Palmieri, Frank; Hao, Jianjun; Nishimura, Yukio; Jen, Kane; Kim, Eui Kyoon; Resnick, Douglas J.; Liddle, Alexander; Willson, C. Grant. “Implementation of an imprint damascene process for interconnect fabrication.” JVST, 24(3), 1283-1291 (2006).
  418. Schmid, Matthew J.; Manthiram, Kalpana; Grayson, Scott M.; Willson, James C.; Meiring, Jason E.; Bell, Kathryn M.; Ellington, Andrew D. and Willson, C. Grant. “Feature Multiplexing—Improving the Efficiency of Microarray Devices,” Angew. Chem. 45(20), 3338-3341 (2006).
  419. Hao, Jianjun; Palmieri, Frank; Stewart, Michael D.; Nishimura, Yukio; Chao, Huang-Lin; Collins, Austin; Willson, C. Grant. “Octa(hydridotetramethyldisiloxanyl) silsesquioxane as a synthetic template for patternable dielectric materials. Polymer Preprints (ACS, Division of Polymer Chemistry) 47(2), 1158-1159 (2006).
  420. Grayson, Scott M.; Long, Brian K.; Kusomoto, Shiro; Osborn, Brian P.; Callahan, Ryan P.; Chambers, Charles R.; Willson, C. Grant. “Synthesis and Characterization of Norbornanediol Isomers and Their Fluorinated Analogues,” JOC, 71(1), 341-344 (2006).
  421. Dickey, Michael D.; Collister, Elizabeth; Raines, Allen; Tsiartas, Pavlos; Holcombe, Tom; Sreenivasan, S. V.; Bonnecaze, Roger T.; Willson, C. Grant. “Photocurable Pillar Arrays Formed via Electrohydrodynamic Instabilities,” Chem. Mat. 18(8), 2043-2049 (2006).
  422. Willson, C. Grant. “Materials for step and flash lithography,” ACS PMSE Preprints, 94, p.731 (2006).
  423. Willson, C. Grant. “Organic Imaging Materials: A View of the Future,” Polymer Preprints, 47(1), p. 530 (2006).

SUBMITTED  

  1. Colburn, M., Bailey, T., Choi, B. J. Sreenivasan, S.V., Ekerdt, J.G., Willson, C. G., and Grot, A.  “Step and Flash Imprint Lithography:  A Low Pressure, Room Temperature Patterning,”

  2. Rathsack, Benjamin M.; Meiring, Jason E.; Johnson David M.; Kirby Romy; Schmid Matthew J.; Russell Ryan J.; Pishko Michael V.; Ellington Andrew D.; Willson Grant C. “Multiple Analyte Biosensors Indexed Through Shape Recognition”  Submitted to Science
  3. Jason E. Meiring, Benjamen M. Rathsack, David M. Johnson, Romy Kirby, Matthew J. Schmid, Ramakrishnan Kannappan, Ryan J. Russell, Michael V. Pishko, Andrew D. Ellington, C. Grant Willson, “Multiple Analyte Biosensors Indexed through Shape Recognition,” Rejected from Nature Biotechnology but will be submitted to another journal.
  4. Will Conley, Daniel Miller, Charles Chambers, Brian C. Trinque, Brian Osborn, Takashi Chiba, Paul Zimmerman, Ralph Dammel, Andrew Romano, and C. Grant Willson, “Dissolution Inhibitors for 157 nm Lithography: A 2-Component Resist System,” Submitted to Journal of Photopolymer Science and Technology.
  5. Eric K. Lin, Christopher L. Soles, Dario L. Goldfarb, Brian C. Trinique, Sean D. Burns, Ronald L. Jones, Joseph L. Lenhart, Marie Angelopoulos, C. Grant, Willson, Shil K. Satija, Wen-li Wu, "Direct Measurement of the Reaction Front in Chemically Ampliefied Photoresists,"
  6. Michael D. Stewart, Hoang Vi Tran, Gerard M. Schmid, Timothy B. Stachowiak, Darren J. Becker, C. Grant Willson, "Acid Catalyst Mobility in Resist Resins."
  7. Gerard M. Schmid, Sean D. Burns, Pavlos C. Tsiartas, and C. Grant Willson, "Electrostatic Effects During Dissolution of Positive Tone Photoresists."
  8. T. C. Bailey, J. G. Ekerdt, C. G. Willson, "Film Thickness Variation Implications on Defect Inspection for Imprint Lithography."
  9. Dario L. Goldfarb, Eric K. Lin, Christopher. L. Soles, Brian C. Trinque, Sean. D. Burns, Ronald L. Jones, Joseph L. Lenhart, Marie Angelopoulos, C. Grant Willson, Sushil K. Satija, Wen-li Wu, " Chemically Amplified Photoresists, Fundamental Properties and Limits of Applicability to Sub-100nm Lithography," Submitted to ML World.
  10. Wu, K.; Wang, X.; Kim, E. K.; Willson, C. G. and Ekerdt, J. G. “Experimental and Theoretical Investigation on Surfactant Segregation in Imprint Lithography,” submitted to Langmuir June 16, 2006
  11. Holcombe, T. W.; Dickey, M. D.; Wu, Kai; Ekerdt, J. G.; Willson, C. G. “A Method for the Durable Silylation of Indium Tin Oxide” submitted to Langmuir June 2006

   

 



© 2005 Willson Research GroupUniversity of Texas at Austin
Last updated Thursday, July 07, 2005
 Site design by Arrion Smith
WEL 5.240, 512.471.3975