Library


2000-2009

1990-1999

1980-1989

1970-1979

MainPage

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Library -- 1990 - 1999

1998-1999
90-91
92-93 94-95 96-97

  1. Okoroanyanwu, Uzodinma; Byers, Jeffrey D.; Cao, Ti; Webber, Stephen E.; Willson, C. Grant.  "Deprotection Kinetics of Alicylic Polymer Resist Systems Designed for 193 nm Lithography," ACS Symp Ser., 706, 174-190 (1998).
  2. Hashemi, Javad; Wilson, James; James, Darryl; Kamala, Girish, Holtz, Mark; Khurts, Kurtis; Combs, Bret; Hale, Michael; Willson, C. Grant, “Design and Testing of a Three Dimensional Shock—Recovery System,” Proc. of the 3rd World Conference on Integrated Design and Proc. Tech., Berlin, Germany, 5, 29-43 (1998).
  3. Okoroanyanwu, Uzodinma; Byers, Jeffrey D.; Cao, Ti; Webber, Stephen E.; Willson, C. Grant.  "Monitoring Photoacid Generation in Chemically Amplified Resist Systems," Proc. SPIE, 3333, 747-757 (1998).
  4. Rau, Nicholas; Neureuther, Andrew; Ogawa, Taro; Kubena, Randy; Stratton, Fred; Fields, Charles; Willson, C. Grant.  "Sensitivity and image quality of resists with electron-beam, ion-beam, and optical exposure," Proc. SPIE, 3333, 1413-1419 (1998).
  5. Havard, Jennifer M.; Pasini, Dario; Fréchet, Jean M. J.; Medeiros, David; Yamada, Shintaro; Willson, C. Grant.  "Design and Preliminary Studies of Environmentally Enhanced Water-castable, Water-developable Positive Tone Resists:  Model and Feasibility Studies," Polymers for Micro- and Nano- Patterning Science Technology, ACS Symp. Series, 706, 262-275 (1998).
  6. Flanagin, Lewis W.; McAdams, Christopher L.; Tsiartas, Pavlos C.; Henderson, Clifford L.; Hinsberg, William D.; and Willson, C. Grant.  "Probabilistic model for the mechanism of phenolic polymer dissolution," Proc. SPIE, 3333, 268-277 (1998).
  7. Patterson, Kyle; Okoroanyanwu, Uzodinma; Shimokawa, Tsutomu; Cho, Sungseo; Byers, Jeffrey; Willson, C. Grant.  "Improving the Performance of 193nm Photoresists Based on Alicyclic Polymers,"  Proc. SPIE, 3333, 425-437 (1998).
  8. Medeiros, David R.; Hale, Michael A.; Leitko, Jeffrey K.; and Willson, C. Grant.  "Laterally Linked Liquid Crystal Dimers with Electro-optic Properties," Chem.Mater., 10(7), 1805-1813 (1998).
  9. McAdams, Christopher L.; Tsiartas, Pavlos; and Willson, C. Grant.  "The Influence of Structure on Dissolution Inhibition for Novolac-Based Photoresists: An Adaptation of the Probabilistic Approach," Polymeric Materials for Micro- and Nano- Patterning, ACS Symp Series, 706, 292-305 (1998).
  10. Byers, Jeffrey; Patterson, Kyle; Cho, Sungseo, McCallum; Martin, and Willson, C. Grant.  "Recent Advancements In Cycloolefin Based Resists For ArF Lithography," J.Photopolym.Sci.& Tech., 11(3), 465-474 (1998).
  11. Okoroanyanwu, Uzodinma; Shimokawa, Tsutomu; Byers, Jeffrey; and Willson, C. Grant.  "Alicylic Polymers for 193 nm Resist Applications:  Synthesis and Characterization," Chemistry of Materials, 10(11), 3319-3327 (1998).
  12. Okoroanyanwu, Uzodinma; Byers, Jeffrey; Shimokawa, Tsutomu; and Willson, C. Grant.  "Alicylic Polymers for 193 nm Resist Applications:  Lithographic Evaluation," Chemistry of Materials, 10(11), 3328-3333 (1998).
  13. Havard, Jennifer; Pasini, Dario; Frechet, Jean M. J.; Medeiros, David; Patterson, Kyle; Yamada, Shintaro.  “The design and study of water-soluble positive- and negative-tone imaging materials,” Proc. SPIE, 3333, 111-121 (1998).
  14. Mack, Chris A.; Mueller, Katherine E.; Gardiner, Allen; Sagan, J. P.; Dammel, Ralph R.; Willson, C. Grant.  “Modeling of solvent diffusion in photoresist,” J. Vac. Sci. Technol., B, 16(6) 3779-3783 (1998).
  15. Erdmann, Andreas; Henderson, Clifford; Willson, C. Grant; Dammel, Ralph R..  “Some aspects of thick film resist performance and modeling,” Proc. SPIE, 3333, 1201-1211 (1998).
  16. Yamada, Shintaro; Medeiros, David R.; Patterson, Kyle; Jen, Wei-Lun K.; Rager, Timo; Lin, Qinghuang; Lenci, Carlos; Byers, Jeffrey; Havard, Jennifer M.; Pasini, Dario; Frechet, Jean M. J.; Willson, C. Grant.  “Postitive and negative tone water processable photoresists: a progress report,” Proc. SPIE, 3333, 245-253 (1998).
  17. Postnikov, Sergei V.; Somervell, Mark H.; Henderson, Clifford L.; Katz, Steven; Willson, C. Grant; Byers, Jeffrey; Qin, Anwei; Lin, Qinghuang.  “Top surface imaging through silylation,” Proc. SPIE, 3333, 997-1008 (1998).
  18. Okoroanyanwu, Uzodinma; Shimokawa, Tsutomu; Byers, Jeffrey D.; Willson, C. Grant.  “Pd(II) catalyzed addition polymerization an ring opening metathesis polymerization of alicyclic monomers: routes to new matrix resins for 193nm photolithography,” J. Mol. Catal. A: Chem., 133 (1-2), 93-114 (1998).
  19. McAdams, Christopher L.; Flanagin, Lewis W.; Henderson, Clifford L.; Pawloski, Adam R.; Tsiartas, Pavlos; Willson, C. Grant.  "The Dissolution of Phenolic Polymers in Aqueous Base: The Influence of Polymer Structure,"  Proc.SPIE., 3333, 1171-1179 (1998).
  20. Henderson, C. L.;  Scheer, S. A.;  Tsiartas, P. C.;  Rathsack, B. M.;  Sagan, J. P.;  Dammel, R. R.;  Erdmann, A.;  Willson, C. G.  “Modeling Parameter Extraction for DNQ-Novolac Thick Film Resists,”  Proc. SPIE, 3333, 256-267 (1998)
  21. Havard, Jennifer M.; Vladimirov, Nikolay; and Frechet, Jean M. J.  “Photoresists with Reduced Environmental Impact:  Water-Soluble Resists Based on Photo-Cross-Linking of a Sugar-Containing Polymethacrylate,”  Macromolecules, 32, 86-94 (1999).
  22. Havard, Jennifer; Shim, S. Y.; Frechet, J.M.J.; Lin, Qinghuang; Medeiros, David R.; Willson, C. Grant; Byers, Jeffrey D.  “Design of Photoresists with Reduced Environmental Impact. I. Water-soluble Resists Based on Photocrosslinking of Poly (vinyl alcohol).  Chem. Matls., 11, 719-725 (1999).
  23. Havard, Jennifer; Yoshida, M., Pasini; D., Vladimirov, N.; Frechet, Jean M. J.; Medeiros, David R.; Patterson, Kyle; Yamada, Shintaro; Willson, C. Grant; Byers, Jeffrey D.  “Design of Photoresists with Reduced Environmental Impact.  II. Water-Soluble Resists Based on Photocrosslinking of Poly (2-Isopropenyl-2-oxazoline), Journ. Poly. Sci., 37, 9, 1225-1236 (1999).
  24. Flanagin, Lewis W.; McAdams, Christopher L.; Hinsberg, William D.; Sanchez, Isaac C.; Willson, C. Grant.  “Mechanism of Phenolic Polymer Dissolution: Importance of Acid-Base Equilibria,” Macromolecules, 32(16), 5337-5343 (1999).
  25. Flanagin, Lewis W.; Singh, Vivek K.; Willson, C. Grant.  “Molecular Model of Phenolic Polymer Dissolution in Photolithography,” Journ. Poly. Sci., Physics, 37, 2103-2113 (1999).
  26. Pasini, Dario; Low, Eric; Meagley, Robert P.; Frechet, Jean M. J.; Willson, C. Grant; Byers, Jeffrey D.  “Carbon-Rich Cyclopolymers: Their Synthesis, Etch Resistance, and Application to 193nm Microlithography,” Proc. SPIE, 3678, 94-101 (1999).
  27. B. M. Rathsack, C. E. Tabery, S. A. Scheer, C. L. Henderson; M. Pochkowski, C. Philbin, F. Kalk; P. D. Buck and C. G. Willson; "Optical Lithography Simulation and Photoresist Optimization for Photomask Fabrication," Proc. SPIE 3678, 1215-1226, (1999).
  28. Hale, Michael A.; Medeiros, David R.; Dombrowski, Katherine D.; Willson, C. Grant.  “X-Ray Diffraction and Torsional Viscosity Investigations of Laterally Linked Sc* Liquid Crystal Dimers,” Chem. Matls., 11(9), 2515-2519 (1999).
  29. Yamachika, Mikio; Patterson, Kyle; Cho, Sungseo; Rager, Timo; Yamada, Shintaro; Byers, Jeffrey; Paniez, P. J.; Mortini, B.; Gally, S.; Sassoulas, P-O.; Willson, C. Grant.  “Improvement of Post-Exposure Delay Stability in Alicyclic ArF Excimer Photoresists,” Jour. Photopoly. Sci. and Tech., 12 (4), 553-559, (1999).
  30. Colburn, M.; Johnson, S.; Damle, S.; Bailey, T.; Choi, B.; Wedlake, M.; Michaelson, T.; Sreenivasan, S.V.; Ekerdt, J.; and Willson, C.G. “Step and Flash Imprint Lithography:  A New Approach to High-Resolution Patterning,”  Proc. SPIE, 3676, 379-389, (1999)
  31. Rathsack, Benjamin M.; Tabery, Cyrus E.; Philbin, CeCe; Willson, C. Grant.  “Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators.”  Proc. SPIE, 3873, 484-492 (1999).
  32. Rathsack, Benjamin M.; Tabery, Cyrus E.; Stachowiak, Timothy B; Dallas, Tim; Xu, Cheng-Bai; Pochkowski, Mike; Willson, C. Grant.  “Characterization of a non-chemically amplified resist for photomask fabrication using a 257 nm optical pattern generator.”  Proc. SPIE, 3873, 80-91 (1999).
  33. Burns, Sean D.; Gardiner, Allen B.; Krukonis, V.J.; Wetmore, Paula M.; Qin, Anwei; Willson, C. Grant  “The Measurement of Concentration Gradients in Resist Films by a ‘Halt Development’ Technique”  Proceedings of the American Chemical Society Division of Polymeric Materials: Science and Engineering 81, 81-84 (1999).
  34. Postnikov, Sergei; Stewart, Michael; Tran, Hoang Vi; Nierode, Mark; Medeiros, David; Cao, T.; Byers, Jeffrey; Webber, Stephen; Willson, C. Grant.  “Study of resolution limits due to intrinsic bias in chemically amplified photoresists  J. Vac. Sci. Technol. B, 17 (6), pp. 3335-3338, (1999)
  35. Ruchhoeft, P.; Colburn, Matthew; Choi, Byung; Johnson, Stephen; Bailey, Todd; Damle, Shilpa; Stewart, Michael; Ekerdt, John; Sreenivasan, S. V., Wolfe, Jack; Willson, C. Grant.  “Patterning curved surfaces:  Template generation by ion beam proximity lithography and relief transfer by step and flash imprint lithography,” J. Vac. Sci. Technol. B 17(6), 2965-2969, (1999).
  36. Stewart, Michael; Postnikov, Sergei; Tran, Hoang V.; Medeiros, David; Nierode, M. A.; Cao, T.; Byers, Jeff; Webber, Steven; Willson, C. Grant.  “Measurement of Acid Diffusivity in Thin Polymer Films Above and Below Tg”  Proc. ACS, Polym. Mat. Sci. Eng. Div., 81, 58 (1999).
  37. Medeiros, David R.; Hale, Michael A..; Hung, Raymond J.P.; Leitko, Jeffrey K.; Willson, C. Grant.  “Ferroelectric cyclic oligosiloxane liquid crystals,” J. Matls. Chem., 9, 1453-1460 (1999).
  38. Flanagin, Lewis W.; Singh, Vivek K.; Willson, C. Grant.  “Surface Roughness Development During Photoresists Dissolution,” J. Vac. Sci. & Tech., B, 17(4), 1371-1379 (1999).
  39. Shintaro Yamada; Timo Rager; Jordan Owens; Jeffery Byers; Morton Nielsen; C. Grant Willson  “The design and study of water-processable positive-tone photoresists,” Polym. Mat. Sci. Eng., 81, 87-88 (1999).
  40. Kyle Patterson; Mikio Yamachika; Sungseo Cho; Timo Rager; Shintaro Yamada; Jeffery Byers;  C. Grant Willson  “Design of Alicyclic Polymers for 193 nm Photoresists Offering Enhanced Post-Exposure Delay Stability,” Polym. Mat. Sci. Eng., 81, 43-44, (1999).
  41. Lewis W. Flanagin; Christopher L. McAdams; William D. Hinsberg; Isaac C. Sanchez; C. Grant Willson  “Mechanism of Phenolic Polymer Dissolution: Importance of Acid-Base Equilibria,” Polym. Mat. Sci. Eng., 81, 469-472 (1999).
  42. Colin J. Brodsky and C. Grant Willson  “Interfacial Cationic Graft Polymerization Lithography,” Polym. Mat. Sci. Eng., 81, 83-84 (1999).
  43. Mark H. Somervell; Jeffery Byers; C. Grant Willson  “Sources of Line Edge Roughness in a Negative Tone, top Surface Imaging System,” Polym. Mat. Sci. Eng., 81, 28-29 (1999).



© 2005 Willson Research GroupUniversity of Texas at Austin
Last updated Thursday, June 30, 2005
 Site design by Arrion Smith
WEL 5.240, 512.471.3975